ModelSim仿真时钟波形一直是不定态(X状态,值为X)

在initia里面必须给时钟赋初始值,注意:

这样儿不叫初始值 

initial 
begin
#1sys_clk <= 'b0;
#1sys_rst_n <= 'b1;
end

这样儿才叫初始值

initial 
begin
sys_clk <= 'b0;
sys_rst_n <= 'b1;
end

必须得把0时刻的值给出来,否则always里面来回跳转,是对不定态跳转,自然就是不定态了 

  • 5
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值