Verilog信号的展宽和延时

通过这种方法可以使波形向后延时一个时钟周期。

	always@(posedge clk)
	begin
		delay <= in;
	end

下面是一个实际应用:

“原信号中随机出现高电平,高电平之间间隔大于5个周期,高电平宽度均为1个时钟周期。

设计电路,将原信号中的高电平展宽为2个时钟周期宽度,并将展宽后的信号延时一个系统时钟再输出。”

module top(in,out,clk);

input in;
input clk;
reg delay1;
reg delay2;
reg delay3;
output wire out;

always@(posedge clk)
begin
	delay1 <= in;
	delay2 <= delay1;
	delay3 <= delay2;
end
assign out = delay2 + delay3;
endmodule

各个信号的波形如下图所示:

  • 1
    点赞
  • 27
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 6
    评论
评论 6
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

whik1194

如果对你有帮助,欢迎打赏。谢谢

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值