第4章:Verilog-SPICE flow 的混仿

准备输入文件

默认情况下,在vcs命令中添加-ad选项,工具会自动打开并读取vcsAD.init混仿控制文件(也可以使用-ad=control_file_name令工具读取自定义名称的控制文件),该文件包括了混仿的所有配置指令。
vcsAD.init中必须包含choose指令来指定模拟仿真器。

编译前,需准备好以下文件:

  • Verilog网表文件,如testbench.v
  • Verilog-A文件(如果用到了的话)
  • SPICE网表文件(包括器件模型库)
  • 混仿控制文件(即vcsAD.init)
  • 指令文件(如cfg文件),取决于使用了哪种模拟仿真器

编译设计

语法:vcs verilog_design_file(s) -ad [=mixed-signal_control_file] [vcs options]
举例:

vcs -full64 -ad=vcsAD_1CPU.init +vcs+dumpvars+cosim.vcd \
    testbench.v adder.v -l cosim_1CPU.log

重新编译
由于目前仿真工具需要编译阶段和运行阶段一起工作,所以不要使用增量编译

当对设计文件(Verilog、Verilog-A、SPICE)或控制文件(vcsAD.init)有任何修改时,再或者是使用模拟配置指令修改了SPICE网表的大小写敏感特性,必须按照以下步骤对设计重新编译:

  1. 删除上一次混仿产生的临时文件和目录,包括simv.daidircsrc
  2. 重启仿真

运行仿真

语法:simv [vcs runtime_options]
举例:

simv -l sim.log

可以在VCS中使用-R指令,让编译和运行同时进行,如:

vcs -full64 -R -ad=vcsAD.init testbench.v adder.v -l comp.log \
-debug_pp -o simv -l sim.log
  • 2
    点赞
  • 29
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
iscas2spice spice netlist generation tool -- version 2.2 by Jingye Xu @ VLSI Group, Dept. of ECE, UIC, June, 2008 This tool reads the ISCAS85 benchmark circuit "*.bench" file and translate the file into SPICE netlist using the given technology and the standard cell library. platform: linux x86 sytem Input: ISCAS85 benchmark circuit: *.bench; standard cell library: stdcells.sclb; standard cell models: stdcells.lib; interconnect paramaters: *.int; Output: SPICE netlist: out.sp The whole procedure of the tools can be divided into several steps: 1. Gate replacement: replace the gates that can't be found in the with the gates in the standard cell lib. (break.pl) Output: *.bench, *.bench.bak 2. Generate the GSRC files: generate the GSRC files for the fengshui placer. (gsrcgen.pl) Output: gsrcfile/iscas.* 3. Placement: using the fengshui placement tool to perform the component placement. (fs50) Output: gsrcfile/iscas_fs50.pl 4. Generate ISPD file: tanslate the placement results into ISPD98 format file that can be used as the input of the global router. (gsrc2ispd.pl) Output: gsrcfile/iscas.laby.txt 5. Perform the routing: use the labyrinth global router to perform the routing. (mazeRoute) Output: gsrcfile/output 6. Generate the SPICE netlist: use all the available information to generate the final SPICE netlist. (spicegen.pl) Output: out.sp Usage: iscas2spice.pl Iscas85BenchmarkFile [-C/L/N] options: -C :default value, use the RC model for interconnect -L :use the RLC model for interconnect -N :treat interconnect as short circuit wire This package used the fengshui placement tools and labyrinth global routing tools, for information regarding these two free tools, please vist: http://www.ece.ucsb.edu/~kastner/labyrinth/ http://vlsicad.cs.binghamton.edu/software.html For information regarding this software itself please visit: http://wave.ece.uic.edu/~iscas2spice Many thanks to my advisor Masud H. Chowdhury for his support!

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值