vcs验证_数模混合仿真实例(数字verilog作为顶层)VCS+Xa

c286f9f14f31caf199ae4d03b404fab0.png

IC君的第40篇原创文章 (欢迎关注公众号 icstudy)

一年一度的五一劳动节又到了,常常加班不止996的ICer又可以好好休息一下了,首先IC君祝大家劳动节快乐!

大家都知道对于一颗有点复杂度的芯片而言(比如SOC),通常都会包含数字电路和模拟电路。在设计的初期,也许数字模块和模拟模块是分开设计和仿真的。随着项目的推进,需要将这些模块都集成到一起,形成最终tapeout的芯片。

以前也写过一篇文章IC君:新入职IC工程师必备技能——数模混合篇

8a35cd3a894ffee4c6cc023337b302bb.png
SOC的很多模块是数模混合信号

如何保证数字电路和模拟电路之间的连接性和协同工作的表现呢?

做仿真呗~

废话,当然要做仿真,要做什么仿真呢?

包含数字电路和模拟电路的数模混合仿真。

数模混合仿真顾名思义包括数字电路仿真和模拟电路仿真。那数字电路仿真和模拟电路仿真又是如何定义的呢?

数字电路的仿真建模:verilog、system verilog、VHDL等等;

模拟电路的仿真建模:spice、verilog-A/AMS、VHDL-AMS、Real/Wreal、行为模型等等.

feab16999e10c244cfbf7908c321c42f.png
不同建模类型和spice仿真相比模型精确度与性能的关系

数字电路的仿真是以verilog为代表的,模拟电路的仿真有多种选择,最终数模混合有多种方式。这篇文章重点讨论verilog和spice的数模混合仿真方法。

  • 6
    点赞
  • 56
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值