ISE14.7无法生成DDS IP核的解决办法

"E:/*/ipcore_dir/tmp/./_cg/_dbg/./dds_compiler_v4_0/sin_cos.vhd" line 228: Real operand is not supported in this context.
ERROR:sim -ERROR:sim -  Process will terminate. For technical support on this issue, please open a WebCase with this project attached at http://www.xilinx.com/support.  
ERROR:sim - Failed executing Tcl generator.
ERROR:sim - Failed to generate 'dds_error'.  Failed executing Tcl generator.

参数设置如下:

上网查找后,发现解决方法如下:

 但是,我的输出位数一定需要是16位的怎么办呢?

解决办法:

参数设置不要使用Hardware Parameters,而是使用System Parameters。

Spurious Free Dynamic Range = outputwidth*6

Frequency Resolution = clock /(2^phasewidth)

当然也可以使用Hardware Parameters设置好之后跳到Summary页把参数复制过来。

这个问题出现的原因应该是IP核使用Hardware Parameters参数换算出了问题。而且,当两套参数不一致时,DDS IP核貌似默认以System Parameters为准。

  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值