FPGA设计中MEMORY型数据怎么综合到blockRAM里面(二)

reg [7:0] mem[31:0];
这样定义一个RAM时,综合时候怎样才能使用blockRAM模块而不使用registers资源构建RAM?

(1)

由你使用mem数组的方式决定,连续使用,会自动转为bloxkRAM,如果是随机使用里面的数组内容,则会由LE综合.

(2)

两种方法:1使用工具向导生成一个,这样最直接,还能设置初始内容。2 限于对所用的FPGA内部RAM时序非常熟悉,按照那个时序用HDL语言描述一个内存。
第一中方法是官方推荐的,第2中我觉得也有可取指出,就是可以直接行为仿真并且没有很强的器件依赖性。

 

(3)

打开auto ram replacement 的on选项;

Assignments -> Settings -> Analysis & Synthesis Setting -> More Settings(不同软件版本可能位置略有不同,自己找找吧)

 

(4)

我没用过A家的,只用过X家的器件,类比的话,应有以下可能:

1、使用IPCore生成工具,直接生成BlockRAM的IP Core。
2、查手册,直接实例化一个底层元件。
3、查手册,综合器的,一般会有综合器支持的代码模板。找到BlockRAM的模板,照搬即可。
4、代码加入综合器特殊注释。

12不依赖于综合器,有器件依赖,确保你用的是想用的。
3 依赖于Block RAM的行为以及综合器,一般不会有问题。
4 依赖于综合器本身。

如果你想要综合器直接推断出来一个BlockRAM,那么你描述的RAM行为,必须符合手册中BlockRAM的行为。

 

 

  • 1
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值