【整合】FPGA调用RAM资源

本文介绍了FPGA如何调用RAM资源,包括分布式RAM (DRAM) 和块RAM (BRAM)。BRAM是大容量的内置RAM,而DRAM由查找表(LUT)组成。设计者可以通过特定的原语来指定使用哪种类型的RAM。BRAM适用于大容量存储,DRAM则更为灵活但可能消耗更多LUT资源。在Xilinx ISE平台上,提供了相关模板以帮助综合。
摘要由CSDN通过智能技术生成

FPGA可以调用分布式RAM和块RAM两种RAM,当我们编写verilog代码的时候如果合理的编写就可以使我们想要的RAM被综合成BRAM(Block RAM)或者DRAM(Distributed RAM),其中BRAM是block ram,是存在FPGA中的大容量的RAM,DRAM是FPGA中有LUT(look-up table 查找表)组成的。当使用的容量较小会综合成DRAM,容量大的时候综合成BRAM。详细实例跳转:https://blog.csdn.net/fucong59/article/details/83009403

以Xilinx的开发平台为例,在定义数组变量的时候在前面加上一段原语,定义成如下类型,工具会综合成块RAM

   (* RAM_STYLE="{AUTO | BLOCK |  BLOCK_POWER1 | BLOCK_POWER2}" *)
   reg [RAM_WIDTH-1:0] <ram_name> [(2**RAM_ADDR_BITS)-1:0];

在定义数组变量的时候在前面加上一段原语,定义成如下类型,工具会综合成分布式RAM

   (* RAM_STYLE="{AUTO | DISTRIBUTED | PIPE_DISTRIBUTED}" *)
   reg [RAM_WIDTH-1:0] <ram_name> [(2**RAM_ADDR_BITS)-1:0];

如果没有这些语句工具会自动根据你定义的大小综合成块RAM或者分布式RAM

Xilinx的ISE平台的lang

  • 7
    点赞
  • 46
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值