关于verilog中综合的过程,可综合与不可综合的理解

前言:

1):数字电路设计过程:

        一:行为级:分析电路功能、性能以及其他兼容性问题,只验证设计功能,不考虑设计的任何时序信息;

        二:RTL级:寄存器级,只能使用可综合语句结构进行描述

        三:RTL级综合:把RTL级描述转换为门级电路网表

可综合含义:把语言描述变成电路网表,综合软件采用器件库提供的标准单元将RTL级描述转换成门级网表

综合过程:

        一:综合软件读取RTL级代码(可综合的代码),将其转换成门级网表,确保门级的网表的输入输出关系与RTL代码描述的输入输出关系保持一致。

       二:对门级网表进行优化(局部优化)

       三:采用器件库内标准的原件或者FPGA内部的逻辑单元实现优化后的门级网表;

关于verilog可综合与不可综合,CSDN的博客大都借鉴了博主initialwei的关于可综合与不可综合的理解。

博客链接:http://www.eefocus.com/initial_wei/blog/12-10/287444_c623b.html

综合前的仿真成为前仿真;综合后的放仿真成为后仿真。

1)所有综合工具都支持的结构:always,assign,begin,end,case,wire,tri,aupply0,supply1,reg,integer,default,for,function,and,nand,or,nor,xor,xnor,buf,not,bufif0,bufif1,notif0,notif1,if,inout,input,instantitation,module,negedge,posedge,operators,output,parameter。

(2)所有综合工具都不支持的结构:time,defparam,$finish,fork,join,initial,delays,UDP,wait。

(3)有些工具支持有些工具不支持的结构:casex,casez,wand,triand,wor,trior,real,disable,forever,arrays,memories,repeat,task,while。

 建立可综合模型的原则

    要保证Verilog HDL赋值语句的可综合性,在建模时应注意以下要点:

    (1)不使用initial。

    (2)不使用#10。

    (3)不使用循环次数不确定的循环语句,如forever、while等。

    (4)不使用用户自定义原语(UDP元件)。

    (5)尽量使用同步方式设计电路。

    (6)除非是关键路径的设计,一般不采用调用门级元件来描述设计的方法,建议采用行为语句来完成设计。

    (7)用always过程块描述组合逻辑,应在敏感信号列表中列出所有的输入信号。

    (8)所有的内部寄存器都应该能够被复位,在使用FPGA实现设计时,应尽量使用器件的全局复位端作为系统总的复位。

  (9)对时序逻辑描述和建模,应尽量使用非阻塞赋值方式。对组合逻辑描述和建模,既可以用阻塞赋值,也可以用非阻塞赋值。但在同一个过程块中,最好不要同时用阻塞赋值和非阻塞赋值。

    (10)不能在一个以上的always过程块中对同一个变量赋值。而对同一个赋值对象不能既使用阻塞式赋值,又使用非阻塞式赋值。

    (11)如果不打算把变量推导成锁存器,那么必须在if语句或case语句的所有条件分支中都对变量明确地赋值。

    (12)避免混合使用上升沿和下降沿触发的触发器。

    (13)同一个变量的赋值不能受多个时钟控制,也不能受两种不同的时钟条件(或者不同的时钟沿)控制。

    (14)避免在case语句的分支项中使用x值或z值。

 不能综合的语句:

 1、initial                   

    只能在test bench中使用,不能综合。(我用ISE9.1综合时,有的简单的initial也可以综合,不知道为什么)

 2、events                  

    event在同步test bench时更有用,不能综合。

 3、real                       

    不支持real数据类型的综合。

 4、time                          

    不支持time数据类型的综合。

 5、force 和release      

    不支持force和release的综合。

 6、assign 和deassign     

    不支持对reg 数据类型的assign或deassign进行综合,支持对wire数据类型的assign或deassign进行综合。

 7、fork join          

不可综合,可以使用非块语句达到同样的效果。

 8、primitives                

    支持门级原语的综合,不支持非门级原语的综合。

 9、table                    

    不支持UDP 和table的综合。

10、敏感列表里同时带有posedge和negedge

   如:always @(posedge clk or negedge clk) begin...end

   这个always块不可综合。

11、同一个reg变量被多个always块驱动

12、延时

   以#开头的延时不可综合成硬件电路延时,综合工具会忽略所有延时代码,但不会报错。

   如:a=#10 b;

   这里的#10是用于仿真时的延时,在综合的时候综合工具会忽略它。也就是说,在综合的时候上式等同于a=b;

 13、与X、Z的比较

  可能会有人喜欢在条件表达式中把数据和X(或Z)进行比较,殊不知这是不可综合的,综合工具同样会忽略。所以要确保信号只有两个状态:0或1。

  • 20
    点赞
  • 175
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
### 回答1: 《Verilog HDL数字设计与综合(第2版)》是一本关于数字电路设计的书籍,作者是萨米尔帕尔尼卡。这本书主要介绍了Verilog HDL语言的基础知识、语法规则和应用。该书包含了数字电路设计的各种原理和方法,包括基本的逻辑门设计、状态机设计、计数器设计,以及高级的FPGA设计和测量技术。书的实例程序和练习题,可以帮助读者更好地理解Verilog HDL的应用和实践,并且能够快速掌握数字电路设计的技能。 此外,该书还介绍了数字电路的综合技术,包括RTL综合、门级综合和物理综合等方面。作者详细讲解了数字电路综合技术的流程和方法,以及如何使用EDA工具完成数字电路的综合设计。 总的来说,《Verilog HDL数字设计与综合(第2版)》是一本非常有用的数字电路设计教材。它不仅能够帮助读者建立扎实的数字电路设计基础,还能够帮助读者了解数字电路设计的最新技术和趋势。对于电子工程师和学生来说,这本书是一本不可或缺的参考书籍。 ### 回答2: 《verilog hdl数字设计与综合(第2版)》是一本介绍数字设计和综合的书籍。作者萨米尔 帕尔尼卡在其详细介绍了Verilog HDL的语法、数据类型、运算符、模块、测试等内容,可帮助读者通过Verilog HDL实现各种数字设计。 本书还介绍了数字设计的基本概念和设计流程,包括状态图、状态转移表和状态方程等。另外,作者还介绍了数字系统的设计和实现方法,包括组合逻辑电路、时序逻辑电路、寄存器传输级等模块的详细设计方法。 值得一提的是,本书还提供了大量实例,从简单的门电路到复杂的微处理器等多种案例,帮助读者深入了解数字设计的具体实现。 总的来说,《verilog hdl数字设计与综合(第2版)》是一本详尽介绍数字设计和Verilog HDL的入门书籍,可以为各类读者提供良好的参考。 ### 回答3: 《Verilog HDL数字设计与综合(第2版)》是一本经典的数字电路设计教材。该书介绍了数字设计的基本原理和Verilog HDL语言的应用方法,同时涵盖了数字电路的综合、仿真、测试和优化等方面的内容。 本书主要分为三部分。第一部分是关于数字逻辑的基础知识,包括数字电路的基本概念、布尔代数和Karnaugh图、组合逻辑和时序逻辑等。第二部分讲解了Verilog HDL语言的语法和用法,包括模块化设计、变量和常量的定义、逻辑操作和控制结构等。第三部分则介绍了数字电路的综合、仿真和优化等实际应用技巧,以及一些常用的设计工具和方法。 本书不仅适合从事数字电路设计的工程师和学生使用,也适合初学者和对数字电路感兴趣的读者阅读。它通过清晰的例子和逐步深入的内容,让读者能够逐渐理解数字电路设计的方法和思想,掌握Verilog HDL语言的应用和数字电路的设计流程,提高数字电路设计的能力和水平。 总之,《Verilog HDL数字设计与综合(第2版)》是一本权威、经典的数字电路设计教材,它不仅深入浅出地阐述了数字电路的基本原理和设计方法,也介绍了Verilog HDL语言和数字电路设计实践的一些技巧和工具,对于学习和工作都非常有帮助。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值