【Verilog分频器】

前言

本人只是初学,代码经过实验验证,仅供参考
我自己查找模仿编写运行的代码,如有侵权,联系删除。

说明

实验箱内部自带的时钟是 50MHz,实验中计数器时钟采用 1Hz,
故实验中先要采用分频器,将 50MHz 信号分频为 1Hz

代码段

module div_125 (clk,a);
	input clk;
 	output reg a;
 	reg[25:0] q;
 	always@(posedge clk)
  	begin 
   		if(q==24999999)
   		begin
    			q<=0;
    			a<=~a;
   		end
   		else 
    		q<=q+1;
	end
endmodule

总结

简单的分频器程序,大学生看到了估计也会笑的程序啦

  • 1
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值