VHDL的数据结构

  

6.2  VHDL的数据结构

VHDL定义了常量、变量和信号三种数据对象,并规定每个对象都要有唯一确定的数据类型。下面从标识符、数据对象、数据类型和表达式几个方面介绍一下VHDL的数据结构。

6.2.1  标识符

标识符是书写程序时允许使用的一些符号(字符串),主要由26个英文字母、数字0~9及下划线“_”的组合构成,允许包含图形符号(如回车符、换行符等)。可以用来定义常量、变量、信号、端口、子程序或参数的名字。

VHDL中把具有特定意义的标识符号称为关键字,只能作固定用途使用,用户不能将关键字作为一般标识符来使用,如ENTITYPORTBEGINEND等。标识符的命名规则如下:

l         第一个字符必须以字母开头。

l         下划线不能连用。

l         最后一个字符不能是下划线。

l         对大小写字母不敏感(英文字母不区分大小写)。

l         长度不能超过32个字符。

6.2.2  数据对象

VHDL中凡是可以赋予一个值的对象都可称为数据对象。数据对象类似于一种容器,可以接受不同数据类型的数据。VHDL描述硬件电路的工作过程实际是信号经输入变化至输出的过程,因此VHDL中最基本的数据对象就是信号。为了便于描述,还定义了另外两类数据对象:常量和变量,这三种常用的数据对象具有不同的物理意义,下面分别加以说明。

1.常量

常量是在设计实体中保持某一特定值不变的量。例如,在电路中常量的物理意义是电源值或地电平值;在计数器设计中,将模值存放于某一常量中,对不同的设计,改变常量的值,就可改变模值,修改起来十分方便。常量的格式如下:

CONSTANT 常量名:数据类型:表达式;

式中符号:=表示赋值运算,常量可以在定义的同时赋初值。下面是几个常量定义及赋值的例子:

CONSTANT VCCREAL= 3.3        --常量VCC的类型是实数,值为3.3

CONSTANT GNDINTEGER=0      --常量GND的类型是整数,值为0

CONSTANT DELAYTIME=100  ns  --常量DELAY是时间类型,初值为100 ns

注意:数值和单位之间要留空格

常量一旦赋值之后,在程序中就不能再改变了。常量的使用范围取决于被定义的位置。在程序包中定义的常量具有最大全局化特征,可用在调用此程序包的所有实体中;定义在设计实体中的常量,其有效范围为这个实体所定义的所有结构体;而定义在某个结构体中的常量,只能用于此结构体;定义在结构体某一单元(如进程)的常量,则只能用在这一单元中。

常量所赋的值应该与定义的表达式数据类型一致,否则将会出现错误。如:CONSTANT VCCREAL = "0101";这条语句就是错误的,因为VCC的类型是实数(REAL),而其数值"0101"是位向量(BIT_VECTOR)类型。

2.变量

变量属于局部量,主要用来暂存数据。变量只能在进程和子程序中定义和使用,可以在变量定义语句中赋初值,但变量初值不是必需的。变量的定义形式与常量相似,格式如下:

VARIABLE 变量名:数据类型 约束条件:表达式;

例如:VARIABLE  S1S2INTEGER=256

      VARIABLE  CONTINTEGER  RANGE 0 TO 10

第一条语句中变量S1S2都为整数类型,初值都是256;第二条语句变量CONT没有指定初值,则取默认值。变量初值的默认值为该类型数据的最小值或最左端值,那么CONT初值为0(最左端值)。对变量的赋值是一种理想化的数据传输,是立即发生的,没有任何延迟,所以变量只有当前值。变量赋值语句属于顺序执行语句,如果一个变量被多次赋值,则根据赋值语句在程序中的位置,按照从上到下的顺序进行赋值,变量的值是最后一条赋值语句的值。

3.信号

信号是描述硬件系统的基本数据对象,是设计实体中并行语句模块间的信息交流通道。通常可认为信号是电路中的一根连接线。信号有外部端口信号和内部信号之分:外部端口信号是设计单元电路的管脚或称为端口,在程序实体中定义,有INOUTINOUTBUFFER四种信号流动方向,其作用是在设计的单元电路之间实现互连。外部端口信号供给整个设计单元使用,属于全局量;内部信号是用来描述设计单元内部的信息传输,除了没有外部端口信号的流动方向外,其他性质与外部端口信号一致。内部信号可以在程序包体、结构体和块语句中定义,使用范围与其在程序中的位置有关。如果只在结构体中定义,则可以供整个结构体使用;如果在块语句中定义的信号,只能在块内使用。信号描述格式如下:

SIGNAL 信号名:数据类型 约束条件:初始值;

例如:SIGNAL  aINTEGER= 5        --定义整数类型信号a,并赋初值5

SIGNAL  groundBIT='0'        --定义位信号ground并赋初值0

在程序中,信号赋值使用符号<=,变量赋值使用符号:=,信号与变量都能被连续地赋值,其主要区别如下:

l         信号赋值有附加延时,变量赋值则没有。

l         信号可看成硬件的一根连线,变量在硬件中没有类似的对应关系。

l         对于进程语句,进程只对信号敏感,不对变量敏感。

l         信号除了具有当前值外还具有一定的历史信息(保存在预定义属性中),变量只有当前值。

l         在进程中,信号和变量的赋值是不同的,信号的赋值在进程结束时起作用,而变量赋值是立即起作用。比较下面的两个进程:

p1PROCESSab                    SIGNAL  abINTEGER

VARIABLE aINTEGER             p2PROCESSab

BEGIN                                  BEGIN

= 40                               a <= 40

= 30                               b <= 30

= b                                a <= b

= a                                b <= a                                        

PROCESS是进程语句的关键字。在进程p1结束时,变量的值都是30;而在进程p2结束时,a信号为30b信号为40,实现了信号b数值的互换。

6.2.3  数据类型

对于常量、变量和信号这三种数据对象,在为每一种数据对象赋值时都要确定其数据类型。VHDL对数据类型有着很强的约束性,不同的数据类型不能直接运算,相同的类型如果位长不同也不能运算,否则EDA工具在编译、综合过程中会报告类型错误。

根据数据产生来源可将数据类型分为预定义类型和用户自定义类型两大类,这两类都在VHDL的标准程序包中作了定义,设计时可随时调用。下面按这种分类方式介绍一下在数字电路设计中常用的数据类型。

1.预定义数据类型

该类型是最常用、最基本的一种数据类型,在标准程序包STANDARDSTD LOGIC_ 1164及其他程序包中作了定义,已自动包含在VHDL源文件中,不必通过USE语句进行显示调用。具体类型如下:

1)整数类型(INTEGER

整数与数学中的整数相似,包括正整数、零、负整数。整数和适用于整数的关系运算符、算术运算符均由VHDL预先定义。整数类型的表示范围是32位有符号的二进制数范围,这么大范围的数及其运算在EDA实现过程中将消耗很大的器件资源,而实际涉及的整数范围通常很小,例如一位十进制数码管只需显示0~9十个数字。因此在使用整数类型时,要求用RANGE语句为定义的整数确定一个范围。例如:

SIGNAL numINTEGER RANGE 0 TO 255 --定义整型信号num的范围0~255

整数包括十进制、二进制、八进制和十六进制,默认进制是十进制。其他进制在表示时用符号#区分进制与数值。例如:123表示十进制整数1232#0110#表示二进制整数01108#576#表示八进制整数57616#FA#表示十六进制整数FA

2)自然数(NATURAL)和正整数(POSITIVE)类型

自然数类型类型是整数的子集,正整数类型又是自然数类型的子集。自然数包括零和正整数,正整数只包括大于零的整数。

3)实数(REAL)类型

与数学中的实数类似,数据范围是-1.0E38~+1.0E38。书写时一定要有小数点(包括小数部分为0时)。VHDL仅在仿真时可使用该类型,在综合过程中综合器是不支持实数类型的。实数也包括十进制、二进制、八进制和十六进制,例如:2.0表示十进制实数2.0605.3表示 十进制实数605.38#46.1#E+5表示八进制实数46.1 E+5

注意:不能把实数赋给信号,只能赋给实数类型的变量

4)位(BIT)类型

位数据类型是属于可枚举类型,信号通常用位表示,位值用带单引号括起来的'0''1'表示,只代表电平的高低,与整数中的01意义不同。

5)位向量(BIT_VECTOR)类型

位向量是用双引号括起来的一组数据,是基于位数据类型的数组,可以表示二进制或十六进制的位向量。如"011010"H"00AB"H表示是十六进制。使用位向量通常要声明位宽,即数组中元素的个数和排列顺序。例如:

SIGNAL xBIT_VECTOR7 DOWNTO 0);表示信号x被定义为具有8位位宽的量,最左位是x7),最右位是x0)。

6)布尔量(BOOLEAN)类型

布尔量只有两种取值,TUREFALSE,虽然也是二值枚举量,但与位数据不同,没有数值的含义,不能进行算术运算,只能进行关系运算。布尔量初值通常定义为FALSE。例如关系表达式 CLK='1',其含义是当CLK的值等于1时,表达式CLK='1'的值为TRUE

7)字符(CHARACTER)类型

字符也作为一种数据类型,定义的字符量要用单引号括起来,如'A',并且对大小写敏感,如'A''a'是不同的。字符量中的字符可以是英文字母中任何一个大、小写字母,0~9中任何一个数字以及空格,或者是一些特殊字符,如,$%@等。

8)字符串(STRING)类型

字符串是用双引号括起来的一个字符序列,也称为字符串向量或字符串数组。如"VHDL Programmer"。字符串常用于程序的提示或程序说明。

9)时间(TIME)类型

时间类型是VHDL中唯一预定义的物理量数据。完整的时间数据应包括整数和单位两部分,而且整数和单位之间至少要有一个空格,如10 ns20 ms 33 minVHDL中规定的最小时间单位是飞秒(fs),单位依次增大的顺序是飞秒(fs)、皮秒(ps)、纳秒(ns)、微秒(µs)和毫秒(ms)等,这些单位间均为千进制关系。

10)错误等级(SEVERITY LEVEL)类型

错误等级类型数据用来表示系统的工作状态,共有四种:NOTE(注意),WARNING(警告),ERROR(错误),FAILURE(失败)。系统仿真时,操作者可根据给出的这几种状态提示,了解当前系统的工作情况并采取相应对策。

2.用户自定义数据类型

上面介绍的是一些标准的预定义数据类型,除此之外,VHDL允许用户根据需要自己定义新的数据类型,这给设计者提供了极大的自由度。用户定义的数据类型格式如下:

TYPE 数据类型名 IS 数据类型定义 OF 基本数据类型;

或写成下面的格式:

TYPE 数据类型名 IS 数据类型定义;

VHDL允许用户定义的数据类型主要有枚举类型、数组类型和用户自定义子类型三种。

1)枚举类型(ENUMERATED

枚举类型是在数据类型定义中直接列出数据的所有取值。其格式如下:

TYPE 数据类型名 IS(取值1,取值2,…);

例如在硬件设计时,表示一周内每天的状态,可以用000代表周一、001代表周二,依此类推,直到110代表周日。但这种表示方法对编写和阅读程序来说是不方便的。若改用枚举数据类型表示则方便得多,可以把一个星期定义成一个名为week的枚举数据类型:TYPE week ISMonTueWedThuFriSatSun);这样,周一到周日就可以用MonSun来表示,直观了很多。

2)数组类型(ARRAY

数组类型是将相同类型的数据集合在一起所形成的一个新数据类型,可以是一维的,也可以是多维的。数组类型定义格式如下:

TYPE 数据类型 IS  ARRAY 范围 OF 数据类型;

如果数据类型没有指定,则使用整数数据类型;如果用整数类型以外的其他类型,则在确定数据范围前需要加上数据类型名。例如:TYPE bus IS ARRAY15 DOWNTO 0OF BIT;数组名称为bus,共有16个元素,下标排序是1514、…、10,各元素可分别表示为bus15)、…、bus0),数组类型为BIT。数组类型常在总线、ROMRAM中使用。

3)用户自定义子类型

用户若对自己定义的数据作一些限制,由此就形成了原自定义数据类型的子类型。对于每一个类型说明,都定义了一个范围。一个类型说明与其他类型说明所定义的范围是不同的,在用VHDL对硬件描述时,有时一个对象可能取值的范围是某个类型定义范围的子集,这时就要用到子类型的概念。子类型的格式如下:

SUBTYPE 子类型名 IS 基本数据类型名 [范围限制]

例如:TYPE  INTEGER IS  –2147483647 TO +2147483647

    SUBTYPE  NATURAL  IS  INTEGER  RANGE  0 TO +2147483647

    SUBTYPE  POSITIVE  IS  INTEGER  RANGE  1 TO +2147483647

在以上三条语句中定义了两个整数的子类型:NATURALPOSITIVE,即自然数和正整数。先定义了整数类型,然后在关键字SUBTYPE后面定义了子类型名,接着在关键字IS之后是子类型的基本类型,最后是对子类型取值范围的限制。

6.2.4  VHDL的表达式

VHDL的表达式是将操作数用不同类型的运算符连接而成,其基本元素包括运算符和操作数。运算符指明要进行的何种运算,操作数则提供运算所需的数据。

1.运算符

VHDL与其他高级语言相似,有着丰富的运算符,以满足描述不同功能的需要。主要有四类常用的运算符,分别是逻辑运算符、算术运算符、关系运算符和连接(并置)运算符,如表6-3所示。

6-3  VHDL运算符表

运算符类型

运算符

功能

逻辑运算符

NOT

逻辑非

AND

逻辑与

OR

逻辑或

NAND

逻辑与非

NOR

逻辑或非

XOR

逻辑异或

XNOR

逻辑同或

关系运算符

=

等于

/=

不等于

小于

大于

<=

小于或等于

>=

大于或等于

移位运算符

SLL

逻辑左移

SLA

算术左移

SRL

逻辑右移

SRA

算术右移

ROL

循环左移

ROR

循环右移

符号运算符

+

正号

-

负号

连接运算符

&

位合并

算术运算符

+

加号

-

减号

*

/

MOD

取模

REM

取余

**

乘方

ABS

取绝对值

1)逻辑运算符

VHDL7种逻辑运算符:ANDORNANDNORXORXNORNOT。这些逻辑运算符可以对BITBOOLEANSTD­_LOGIC等类型的对象进行运算,也可以对这些数据类型组成的数组进行运算,同时要求逻辑运算符左边和右边的数据类型必须相同;对数组来说就是参与运算数组的维数要相同,并且结果也是同维数的数组。

在这些运算符中,NOT和算术运算符中的ABS**的优先级相同,是所有运算符中优先级最高的。其他6个运算符优先级相同,是所有运算符中优先级最低的。在一些高级语言中,逻辑运算符有从左向右或从右向左的优先组合顺序,而在VHDL中,左右没有优先组合的区别,一个表达式中如果有多个逻辑运算符,运算顺序的不同可能会影响运算结果,就需要用括号来解决组合顺序的问题。

例如:q <= a AND b OR NOT c AND d;这条语句在编译时会给出语法错误信息,可以加上括号改为:q <= (a AND b) OR (NOT (c AND d))

如果逻辑表达式中只有AND(或ORXOR等)的情况下可以不加括号,因为对于这三种逻辑运算来说,改变运算顺序不会影响逻辑结果。例如:q <= a AND b AND c AND dq <= a OR b OR c OR dq <= a XOR b XOR c XOR d;这三条语句都是正确的表达式。而以下两个语句在语法上是错误的:q <= a AND b NAND c AND dq <= a NOR b NOR c NOR d

2)关系运算符

VHDL6种关系运算符,是将两个相同类型的操作数进行数值相等比较或大小比较,要求这些关系运算符两边的数据类型必须相同,其运算结果为BOOLEAN类型,即表达式成立结果为TURE、不成立结果为FALSE。这六种运算符的优先级相同,仅高于逻辑运算符(除NOT外)。

运算符=/=适用于所有已经定义过的数据类型;其他四种关系运算符则适用于整数、实数、BITSTD LOGIC等类型。另外<=符号有两种含义(小于或等于运算符以及信号赋值符),在阅读源代码时要根据上下文判断具体的意义。

3)移位运算符

移位运算符是VHDL_94新增的运算符,其中SLL(逻辑左移)和SRL(逻辑右移)是逻辑移位、SLA(算术左移)和SRA(算术右移)是算术移位、ROL(循环左移)和ROR(循环右移)是循环移位。逻辑移位用0填补移空的位;算术移位把首位看作符号位,移位时保持符号不变,因此移空的位用最初的首位来填补;循环移位是用移出的位依次填补移空位。移位运算都是双目运算运算符,只定义在一维数组上,左操作数(移位数据)必须是BITBOOLEAN型,右操作数(移动位数)必须是整数类型。例如:"10011011" SLL 1="00110110";逻辑左移1位,移空位用0填补、"11011010" SLA 1="10110101";算术左移1位,移空位用符号位1填补、"10011011" ROL 2="01101110";循环左移2位,移出的10依次补在数尾。

这六种运算符的优先级相同,仅高于关系运算符。

4)符号运算符

+(正号)、-(负号)与日常数值运算相同,主要用于浮点和物理类型运算。物理类型常用作测试单元,表示像时间、电压及电流等物理量,可以视为与物理单位有关的整数,能方便地表示、分析和校验量纲,物理类型只对仿真有意义而对于综合无意义。

符号运算符为单目运算符,优先级高于加、减和连接运算符,低于乘、除运算符。

5)连接运算符

连接运算符也称为并置运算符,只有一种符号,用&表示。用于位和向量的连接,就是将运算符右边的内容接在左边的内容之后形成一个新的数组。例如:“VHDL & 93”的结果为“VHDL93”。其优先级与加、减运算符相同,高于移位运算符,低于符号运算符。

6)算术运算符

算术运算符中,单目运算(ABS**)的操作数可以是任何数据类型、+(加)、-(减)的操作数为整数类型、*(乘)、/(除)的操作数可以为整数或实数。物理量(如时间等)可以被整数(或实数)相乘(或相除),其运算结果仍为物理量。MOD(取模)和REM(取余)只能用于整数类型。MODREM运算的区别是符号不同,如果有两个操作数ab,表达式a REM b的符号与a相同;表达式a MOD b的符号与b相同。例如: 7 REM -2=1-7 REM 2=-1-7 MOD 2=17 MOD -2=-1。运算符*/MODREM的优先级相同,高于符号运算符,低于NOTABS**运算符。

ABS(取绝对值)运算符可用于任何数据类型,**(乘方)运算符的左操作数可以是整数或实数,右操作数必须是整数,并且只有在左操作数为实数时,其右操作数才可以是负整数。

2.操作数

操作数是运算符进行运算时所需的数据,操作数将其数值传递给运算符进行运算。操作数种类有多种,最简单的操作数可以是一个数字,或者是一个标识符,如一个变量或者信号的名称。操作数本身可以是一个表达式,通过圆括号将表达式括起来从而建立一个表达式操作数。但要注意,并不是所有的运算符都能使用所给出的各种操作数,操作数类型必须是运算符支持的类型。操作数的类型有:常量、变量、信号、表达式、函数、文件等。



原文地址:http://loveyoujinhua1986.blog.163.com/blog/static/388806082010215103031267/

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值