FPGA Base 无毛刺时钟切换电路

无毛刺时钟切换电路在数字IC比试中经常出现,但是在FPGA设计中,基本很少用到门控时钟这个东西,并且Xilinx也建议尽量不要再设计中采用门控。

不过看了晚上这么多例子,主要抓住以下3点:

1、时钟选择信号&对端时钟信号低电平,,先用2级寄存器打两拍
2、第三级寄存器对前两级寄存器输出的信号用时钟的下降沿触发,然后与采样时钟相与
3、讲两路门控的时钟相或,最终输出

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值