数字电子钟Verilog代码vivado ego1开发板

名称:数字电子钟Verilog代码vivado  ego1开发板(文末获取)

软件:vivado

语言:Verilog

代码功能:

设计并制作一个数字电子钟,要求如下:具有“时”、“分”、“秒”数字显示具有“时    分”校时功能。

(1)编写程序并进行仿真验证

(2)下载到FPGA板上,并且在数码管上显示时间(4位数码管,显示分和秒,用4个LED表示小时)    (3)扩展功能自行发挥(可加分)

本代码已在ego1开发板验证,ego1开发板如下,其他开发板可以修改管脚适配:

ego1开发板.png

1. 工程文件

2. 程序文件

3. 管脚约束

4. testbench

5. 程序编译

6. 仿真图

整体仿真图

整体仿真图

整体仿真图

消抖模块仿真图

分频模块仿真图

计时模块仿真图

显示模块仿真图

部分代码展示:

`timescale 1ns / 1ps
module digital_clock(
input clk_100M,//100MHz
input BTNL,//设置时间
input BTNR,//确认
input BTNU,//设置时分秒
output [3:0] LED,//LED显示小时
//数码管显示
output   [7:0] dig_led_1,//数码管段选
output   [3:0] wei_led_1//数码管位选
    );
wire set_time_key;//设置时间
wire confirm_key;//确认
wire change_time_key;//设置时分秒
wire clk_1Hz;
wire [7:0] hour_time;//时
wire [7:0] minute_time;//分
wire [7:0] second_time;//秒
key_jitter key_set_time_key(
     . clkin(clk_100M),
     . key_in(BTNL),//输入
 . key_posedge(set_time_key),//消抖后按键上升沿
 . key_negedge(),//消抖后按键下降沿
     . key_value()//消抖后按键
);
key_jitter key_confirm_key(
     . clkin(clk_100M),
     . key_in(BTNR),//输入
 . key_posedge(confirm_key),//消抖后按键上升沿
 . key_negedge(),//消抖后按键下降沿
     . key_value()//消抖后按键
);
key_jitter key_change_time_key(
     . clkin(clk_100M),
     . key_in(BTNU),//输入
 . key_posedge(change_time_key),//消抖后按键上升沿
 . key_negedge(),//消抖后按键下降沿
     . key_value()//消抖后按键
);
fenping i_fenping(
. clk_100M(clk_100M),
. clk_1Hz(clk_1Hz)
);
jishi i_jishi(
. clk_100M(clk_100M),
. clk_1Hz(clk_1Hz),
. set_time_key(set_time_key),//设置时间
. confirm_key(confirm_key),//确认
. change_time_key(change_time_key),//设置时分秒
. hour_time(hour_time),//时
. minute_time(minute_time),//分
. second_time(second_time)//秒
);
display_num i_display_num(
. clk(clk_100M),
. LED(LED),
. hour_time(hour_time),//时
. minute_time(minute_time),//分
. second_time(second_time),//秒
. dig_led_1(dig_led_1),
. wei_led_1(wei_led_1)
);
endmodule
源代码

 扫描文章末尾的公众号二维码

  • 22
    点赞
  • 21
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
引用、引用[2]和引用中提到了ego1开发板vivado以及Verilog代码。这些是用于实现数电大作业中的各种功能和设计的工具和语言。ego1开发板是一款硬件开发板vivado是一款用于FPGA设计和开发的集成开发环境,而Verilog是一种硬件描述语言,常用于FPGA设计。根据引用和引用的描述,这些大作业使用ego1开发板vivado软件来设计多功能的售货机和蓝牙密码锁。具体的设计内容和功能可以参考引用、引用和引用中提供的链接和说明。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [数电满分大作业,数电满分大作业。数字电路——FPGA自动售货机 EGO1开发板 Vivado工程 Verilog代码.rar](https://download.csdn.net/download/yytju123/61110039)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 33.333333333333336%"] - *2* [数字逻辑设计大作业-乒乓球游戏模拟器](https://blog.csdn.net/weixin_50952205/article/details/121720196)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 33.333333333333336%"] - *3* [FPGA-基于EGO1开发板的蓝牙密码锁 无线控制 开锁 修改密码 防盗保护](https://blog.csdn.net/sirlhh/article/details/125598103)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 33.333333333333336%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值