任意整数分频器设计Verilog代码vivado ego1开发板

名称:任意整数分频器设计Verilog代码vivado  ego1开发板(文末获取)

软件:vivado 

语言:Verilog

代码功能:

任意整数分频器设计

1、支持进行任意整数分频

2、使用一个偶数分频器和一个奇数分频器模块

3、根据输入的分频值,选择输出偶分频还是奇分频

本代码已在ego1开发板验证,ego1开发板如下,其他开发板可以修改管脚适配:

ego1开发板.png

1. 工程文件

2. 程序文件

3. 管脚约束

4. 程序编译

5. 仿真文件(testbench)

6. 仿真图

6.1 整体仿真

6.2 偶分频模块

下图可看出,输入N为偶数时,en使能信号为高电平,clk_div输出偶数分频信号

6.3 奇分频模块

下图可看出,输入N为奇数时,en使能信号为高电平,clk_div输出奇数分频信号

部分代码展示:

module div_n(
input clk,//时钟100M
input rst_n,//复位信号
input [14:0] N,//输入的分频倍数
output clk_div//输出分频信号
);
wire clk_div_ou;//偶分频信号
wire clk_div_ji;//奇分频信号
//偶分频模块
div_ou i_div_ou(
. clk(clk),//时钟100M
. rst_n(rst_n),//复位信号
. N(N),//输入的分频倍数
. en(~N[0]),//使能信号
. clk_div(clk_div_ou)//输出分频信号
);
//奇分频模块
div_ji i_div_ji(
. clk(clk),//时钟100M
. rst_n(rst_n),//复位信号
. N(N),//输入的分频倍数
. en(N[0]),//使能信号
. clk_div(clk_div_ji)//输出分频信号
);
  
assign clk_div=clk_div_ou | clk_div_ji;//输出偶分频或奇分频
endmodule
源代码

 扫描文章末尾的公众号二维码

  • 8
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值