多路彩灯控制器Verilog代码Quartus仿真

名称:多路彩灯控制器Verilog代码Quartus仿真(文末获取)

软件:Quartus

语言:Verilog

代码功能:

多路彩灯控制器,

modelsim,quartus13.1

设计一个多路彩灯控制器,LED灯至少8路,能够在6种不同的彩灯花型之间进行循环变化(例如:左流水-右流水-拉幕式-闭幕式-隔2灯闪烁3次-隔1灯闪烁3次),并可设置花型变化的节奏,且可进行复位。

输入信号:时钟信号clk为50MHz,复位信号

输出信号:8个LED灯控制信号。

要求给出系统总体组成框图,设计思路,完成以上模块的Verilog HDL实现,顶层文件,RTL图,整体时序仿真

1. 工程文件

2. 程序文件

3. 程序编译

4. Testbench

5. 仿真图

整体仿真图

分频模块仿真图

控制模块仿真图

部分代码展示:

// 流水灯顶层文件
module liushui_led(
input clk_50M,//50M信号
input reset,//复位
input clk_sel,//节奏选择按键
output [7:0] LED//LED
);
wire clk_led;//led时钟
//分频模块
fenping i_fenping(
. clk_50M(clk_50M),//50MHz时钟
. clk_sel(clk_sel),//节奏选择按键,高电平选择4Hz,低电平选择2Hz
. clk_led(clk_led)//led控制时钟信号
);
源代码

 扫描文章末尾的公众号二维码

  • 7
    点赞
  • 19
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值