基于FPGA的简易电子琴Verilog代码Quartus仿真

名称:基于FPGA的简易电子琴Verilog代码Quartus仿真(文末获取)

软件:Quartus

语言:Verilog

代码功能:

简易电子琴电路设计要求

1.输入量为8个按键,每个按键对应一个音阶;输出量为 SPEAKER。

当其中一个按键按下时,输出产生特定频率的音阶方波信号。

假设输入的时钟频率为2MHz。音阶与输出信号频率的对应表如下所示。

QQ图片20240130093411.png

2.将顶层文件下载至实验箱中的核心芯片EP103T144C8中,利用实验箱上面的按键、时

钟信号和扬声器,进行演示

1. 工程文件

2. 程序文件

3. 程序编译

4. RTL图

5. 管脚分配

6. VWF(仿真文件)

7. 仿真图

部分代码展示:

//电子琴设计
module Organ(
input clk_2M,//2MHz时钟
input btn1,//琴键1
input btn2,//琴键2
input btn3,//琴键3
input btn4,//琴键4
input btn5,//琴键5
input btn6,//琴键6
input btn7,//琴键7
input btn8,//琴键8
output SPEAKER//音频输出
);
wire [11:0] fenping_cnt;//分频数输出
//分频模块
divider i_divider(
. clk_2M(clk_2M),//2MHz时钟
. btn1(btn1),//琴键1
. btn2(btn2),//琴键2
. btn3(btn3),//琴键3
. btn4(btn4),//琴键4
. btn5(btn5),//琴键5
. btn6(btn6),//琴键6
. btn7(btn7),//琴键7
. btn8(btn8),//琴键8
. fenping_cnt(fenping_cnt)//分频数输出
);
//音频控制模块
Speake i_Speake(
. clk_2M(clk_2M),//2MHz时钟
. fenping_cnt(fenping_cnt),//分频输入
. SPEAKER(SPEAKER)//音频输出
);
endmodule
源代码

 扫描文章末尾的公众号二维码

  • 11
    点赞
  • 41
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值