基于FPGA的83编码器及42优先编码器设计Verilog代码VIVADO仿真

名称:基于FPGA的83编码器及42优先编码器设计Verilog代码VIVADO仿真(文末获取)

软件:VIVADO

语言:Verilog

代码功能:

83编码器及42优先编码器

1、设计8线-3线编码器

2、设计4-2优先编码器

1. 工程文件

2. 程序文件

Testbench

3. 程序编译

4. 仿真图

83线二进制编码器

四二优先编码器

部分代码展示:

//四二优先编码器

module coder_42(
input [3:0] din,
output reg [1:0] dout
);
always@(din)
if(din[0])
dout<=2'b00;
else if(din[1])
dout<=2'b01;
else if(din[2])
dout<=2'b10;
else if(din[3])
dout<=2'b11;
else
dout<=2'b00;
endmodule
源代码

 扫描文章末尾的公众号二维码

  • 5
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值