Xcelium(xrun)的基础使用

Xcelium的基础使用 


https://www.cnblogs.com/Alfred-HOO/articles/17416139.html

一,基础问答
1,Xcelium的由来?
Xcelium(xrun)是cadence最新的仿真工具,Incisive(irun)的升级版本。
2,如何用xrun完成三步仿真?
xrun默认是单步仿真

 
 
xrun add.v //自动完成comp , elab ,sim

三步仿真:

 
 
comp :
 
xrun -compile add.v
 
elab :
 
xrun -elaborate add.v //生成snapshot
 
sim :
 
xrun -R //自动识别snapshot

3、Xcelium的特性
严格的语法检查确保设计的成功,若代码不改变,默认不重新comp,elab代码,来减少仿真时间(如需要重新comp,elab,可添加-noupdate)
二,常用的option
 


 


三,help使用
whichxrun//查看xrun是否可以直接调用

xrun -helpargs//打印出xrun的option作用及用法(xrun -helpall)
当仿真报错,可以使用下面命令debug具体报错类型
xmhelpxrunBADPRFxmhelp xmsim BADPRF (根据报错信息调整xmvlog ,xmelab ,xmsim)
$nchelp ncvlog BADPRF(根据报错信息调整ncvlog,ncelab,ncsim).

  • 1
    点赞
  • 16
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值