4bit扭环形计数器

扭环形计数器

1.1 verilog HDL code

module twisted_counter(clk,rst_n,dout);
input clk,rst_n;
output reg [3:0] dout;
always@(posedge clk or negedge rst_n)
if(!rst_n) dout <=4’b0000;
else begin
dout<={dout[2:0],~dout[3]};
end
endmodule

1.2 testbench

module twisted_counter_tb;
reg clk,rst_n;
wire [3:0] dout;
twisted_counter U1 (.clk(clk),.rst_n(rst_n),.dout(dout));
always #10 clk=~clk;
initial begin
clk=1’b0;
rst_n=1’b1;
#10 rst_n=1’b0;
#10 rst_n=1’b1;
#100;
end
endmodule

1.3 wave
在这里插入图片描述

  • 1
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值