奇偶校验器

奇偶校验器

1.1 简介

8bit 奇偶校验器。

1.2 verilog代码

module odd_even_check(din,d_odd,d_even);
	input [7:0] din;
	output d_odd,d_even;
	assign d_odd=^din;
	assign d_even=~d_odd;
endmodule

1.3 testbench

`timescale 1ns/1ns
module odd_even_check_tb;
	reg [7:0] din;
	reg clk;
	wire d_odd,d_even;
	odd_even_check U1(.din(din),.d_odd(d_odd),.d_even(d_even));
	always #10 clk=~clk;
	initial begin
		clk=1'b0;
		end
	always@(posedge clk)	
		din=1+$random()%254;
endmodule

1.4 wave

在这里插入图片描述

  • 0
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值