初学verilog语言(二)

二、程序框架

1.注释

与C语言注释写法类似,不做赘述。

2.关键字

常用关键字如下:

3.程序框架

(1)模块的结构

Verilog的基本设计单元是‘模块’(Block)。

一个模块是由两部分组成的,一部分描述接口,另一部分描述功能。

例如:verilog语言

module block(a,b,c,d);
    input      a,b;
    output     c,d;

assign c = a|b;
assign d = a&b;

endmodule

其中block为变量名,(a,b,c,d)为端口定义,input和output为IO说明,assign为功能定义,有些代码还有内部信号声明。

(2)模块的调用

在模块调用时,信号通过模块端口在模块之间传递。

模块间调用首先例化模块,通过重新命名(通常使用u_xxx)模块名,再使用.clk()的方式将模块中定义的clk端口与xxx(顶层模块端口)对应连接(注意输入端口和输出端口对应,对应位宽也应一致)。其中,输出端只能连接wire类型。

第二种方式中调用模块端口名(sys.clk等)必须按被调用模块端口端口名(module中的顺序)顺序连接不可改变顺序。

#后的.MAX_NUM不为端口表示,为参数传递(将被调用模块中的50000_000用25000_000代替,传递完的值依然为25000_000)。

  • 9
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
提供的源码资源涵盖了安卓应用、小程序、Python应用和Java应用等多个领域,每个领域都包含了丰富的实例和项目。这些源码都是基于各自平台的最新技术和标准编写,确保了在对应环境下能够无缝运行。同时,源码中配备了详细的注释和文档,帮助用户快速理解代码结构和实现逻辑。 适用人群: 这些源码资源特别适合大学生群体。无论你是计算机相关专业的学生,还是对其他领域编程感兴趣的学生,这些资源都能为你提供宝贵的学习和实践机会。通过学习和运行这些源码,你可以掌握各平台开发的基础知识,提升编程能力和项目实战经验。 使用场景及目标: 在学习阶段,你可以利用这些源码资源进行课程实践、课外项目或毕业设计。通过分析和运行源码,你将深入了解各平台开发的技术细节和最佳实践,逐步培养起自己的项目开发和问题解决能力。此外,在求职或创业过程中,具备跨平台开发能力的大学生将更具竞争力。 其他说明: 为了确保源码资源的可运行性和易用性,特别注意了以下几点:首先,每份源码都提供了详细的运行环境和依赖说明,确保用户能够轻松搭建起开发环境;其次,源码中的注释和文档都非常完善,方便用户快速上手和理解代码;最后,我会定期更新这些源码资源,以适应各平台技术的最新发展和市场需求。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值