Verilog语言进行电路设计

前言:本章内容主要是演示Vivado下利用Verilog语言进行电路设计、仿真、综合和下载n\n示例:序列发生器与序列检测器\n\n​\n\n功能特性: 采用 Xilinx Artix-7 XC7A35T芯片 \n配置方式:USB-JTAG/SPI Flash\n高达100MHz 的内部时钟速度 \n存储器:2Mbit SRAM N25Q064A SPI Flash(样图旧款为N25Q032A)\n通用IO:Switch :x8LED:x16Button:x5DIP:x8   通用扩展IO:32pin\n音视频/显示: 7段数码管:x8 VGA视频输出接口 Audio音频接口 \n通信接口:UART:USB转UART   Bluetooth:蓝牙模块 \n模拟接口: DAC:8-bit分辨率   XADC:2路12bit 1Msps ADC\n Ⅰ. 前置知识\n0x00 序列检测器\n序列检测器在数据通讯,雷达和遥测等领域中用于检测同步识别标志。\n\n它是一种用来检测一组或多组序列信号的电路。例如检测器收到一组指定的串行码后,输出标志1,否则,输出0。检测器每收到一个符合要求的串行码就需要用一个状态进行记忆。\n\n若要检测的串行码长度为N位,则需要N个状态;另外,还需要增加一个“未收到一个有效位”的初始状态,共N+1个状态;\n\n0x01 序列发生器\n序列发生器用于产生一个指定序列串,与序列检测器类似,每产生一个符合要求的串行码就需要用一个状态进行记忆。\n\n若要产生的串行码长度为N位,则需要N个状态;另外,还需要增加一个“未产生一个有效位”的初始状态,共N+1个状态

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值