计数器0到10(初学FPGA)

 

module counter

(

input clk,

input rst,

output [3:0]cont

);//输入输出

reg [3:0]cont_1;

always@(posedge clk)

begin

if(~rst)

cont_1<=0;

else if(cont_1==4'b10)

cont_1<=0;

else

cont_1<=cont_1+1'b1;

end

assign cont=cont_1;

endcase

测试:

`timescale 1ns/1ns

module counter_tb();

reg clk;

reg rst;

inital

begin

    rst=0;

#100 rst=1;

#1000_000 $stop;

end

initial

begin

clk=0;

end

always #10 clk=~clk;

endmodule

 

 

 

  • 1
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

yang_wei_bk

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值