ModelSim常用命令的表格整理

ModelSim常用命令的表格整理:

命令描述用法示例
run运行仿真run
run 100ns
restart重新启动仿真restart
force强制信号的值force signal 1
release释放信号的强制release signal
quit退出ModelSim仿真器quit
view wave显示波形窗口view wave
add wave添加信号到波形窗口中add wave -position insertpoint sim:/top/entity/signal
wave zoom缩放波形窗口wave zoom fit
wave save保存波形到文件wave save waveform.vcd
compile编译设计文件compile -incremental design.vhd
vcd file指定VCD文件的名称vcd file waveform.vcd
log记录消息到日志文件中log -r /top/*
echo在控制台上打印消息echo "Hello World!"
source执行指定的Tcl脚本文件source script.tcl
do执行指定的Do文件do script.do
vsim打开ModelSim仿真器,并加载指定的设计文件vsim -gui top_tb
view structure显示设计的结构view structure
view signals显示所有信号及其值view signals
view hierarchy显示设计的层次结构view hierarchy
view instances显示设计中的实例及其层次结构view instances
view nets显示设计中的信号网络view nets
run xx ns运行指定的时间run 100ns
run all运行仿真直到结束run all
step单步运行仿真step
restart -force强制重新启动仿真restart -force
onbreak resume在仿真停止时继续执行仿真onbreak resume
onstop quit在仿真停止时退出仿真器onstop quit

互相学习交流

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值