【电力电子】1 Cuk仿真电路

文章介绍了如何使用CSDN博客中的方法搭建Cuk变换器仿真电路,包括DCM和CCM工作模式下的元器件选择、波形记录,以及2PI控制器设计实现闭环稳压。通过实例计算和仿真,展示了不同工作模式下的电流和电压特性。
摘要由CSDN通过智能技术生成

【仅供参考

【2022.11西南交大电力电子仿真】

参考博客

[1] Cuk电路的参数计算及仿真_cuk电路参数计算-CSDN博客

目录

1 仿真电路搭建及波形记录

1.1 DCM工作模式

1.2 CCM工作模式

 2 PI控制器的设计


1 仿真电路搭建及波形记录

        仿真要求:建立输入24V输出5V额定功率100WCuk变换器仿真电路,分析开关器件、电感和二极管在连续和不连续工作模式下的电压、电流波形。

1.1 DCM工作模式

        根据输出电流电压一般情况下的纹波要求,通过计算,确定元器件选型;根据输出电压和输入电压关系,确定开关管门极电压PWM波的占空比。

        根据代码[1]

clear;clc;
 
Vin = 24; %输入电压单位V
Vout = 5; %输出电压单位V
Fs = 100000; %开关频率单位Hz
Iout = 20; %输出电流单位A
DeltaIin = 0.2; %输入电流纹波单位A
DeltaIout = 0.2; %输出电流纹波单位A
DeltaVc = 0.01; %输出电压纹波单位V
 
Ts = 1/Fs; %开关周期
duty = Vout / (Vout+Vin);
L1 = (Vin * duty * Ts) / DeltaIin;
L2 = (Vin * duty * Ts) / DeltaIout;
C1 = (Iout * duty * Ts) / DeltaVc;
C2 = (DeltaIout * Ts) / ( 8 * DeltaVc);
 
duty = duty * 100; %单位%
Ts = Ts * 10^6; %单位us
L1 = L1 * 10^6; %单位uH
L2 = L2 * 10^6; %单位uH
C1 = C1 * 10^6; %单位uF
C2 = C2 * 10^6; %单位uF
 
fprintf('duty  = %.1f%%\n',duty);
fprintf('Ts	  = %.1fus\n',Ts);
fprintf('L1	  = %.1fuH\n',L1);
fprintf('L2	  = %.1fuH\n',L2);
fprintf('C1	  = %.1fuF\n',C1);
fprintf('C2	  = %.1fuF\n',C2);

        可得(注意!!!因用代码得到的数值跑出的仿真不甚理想,故对数值进行了调整):占空比duty = 17.24%,开关管导通关断频率 = 10000Hz,电感L1 = 133uH,电感L2 = 133uH,C1 = 4700uF,C2 = 3300uF。通过8通道Scope示波器模块,可观测到开关器件、电感和二极管在连续工作模式下的电压、电流波形如图:

        根据仿真结果,输出电压稳定在-5.025V附近,输出功率稳定在101W附近。与理论值相差均不超过5%。

1.2 CCM工作模式

        基于CCM工作模式下元器件选型,仅改变将电感L1改变为1uH、电感L2改变为1H。可观测到开关器件、电感和二极管在连续工作模式下的电压、电流波形如图:

 2 PI控制器的设计

        设计要求:对输出电压进行闭环稳压反馈控制,实现负载从空载-半载-满载-空载的动态仿真。
        用四个方波(赋值分别为:0、-5*sqrt(2)/2 -5+5*sqrt(2)/2、5,step time分别为:0.25 、0.5 、0.75)生成输出电压的参考值方波。 PI 控制器中的KP = 0、KI = 3。
        搭建仿真电路如图:

        可观测到输出功率与输出电压波形变化如图:

  • 22
    点赞
  • 31
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值