双沿时钟

双沿时钟是指在时钟的上升沿和下降沿都传输数据,这样使得数据传输在给定的时钟速率下能达到双倍的吞吐率,下图是一个由双沿时钟触发电路:
双沿时钟
使用双沿时钟可能存在一些问题,时钟的非对称占空比可能导致违背建立和保持时钟,而且很难确定关键信号的路径。下图是时钟驱动的单沿数据传输和多沿数传输波形图:
波形图

在对性能和速度要求很高的情况下,并且无法承受使用等效同步电路在DFT和验证方面所带来的额外开销外,可使用双沿时钟。

参考资料:The Art of Hardware Architecture

  • 1
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
双沿时钟采集是一种在时钟的上升沿和下降沿都触发的方式。然而,在FPGA中,并没有直接支持双沿触发的触发器。这是因为FPGA上的触发器是单边沿触发的,只对时钟的一个边沿敏感。因此,在Verilog中,不能使用@(posedge clk or negedge clk)这样的敏感性列表来实现双沿时钟采集。 要实现双沿时钟采集,可以使用其他方法。一种常见的方法是使用两个单边沿触发器来模拟双沿触发器。例如,可以使用一个触发器在时钟的上升沿触发,另一个触发器在时钟的下降沿触发。通过适当的逻辑电路连接这两个触发器,可以实现双沿时钟采集的功能。 另外,还可以使用其他技术来实现类似的功能,如使用状态机或者使用时钟分频器来生成两个相位差半个周期的时钟信号。这样,可以在两个时钟边沿上分别采集数据。 总之,在FPGA中,要实现双沿时钟采集,需要使用其他方法来模拟双沿触发器,如使用两个单边沿触发器或者其他技术。 #### 引用[.reference_title] - *1* [Verilog双边沿采样触发器 HDLBitDualedge](https://blog.csdn.net/spx1164376416/article/details/119759100)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^control_2,239^v3^insert_chatgpt"}} ] [.reference_item] - *2* *3* [Verilog专题(十一)如何设计边沿采样的触发器?](https://blog.csdn.net/Andy_ICer/article/details/105371727)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^control_2,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值