格雷码计数器

格雷码计数器在FIFO存储器中用于读写指针,因其相邻数值差异小,提高系统抗干扰能力和减少门电路翻转次数,降低功耗。实现包括格雷码转二进制、二进制递增、二进制转回格雷码,最后保存计数结果。Verilog代码和功能仿真验证了计数器的正确性。
摘要由CSDN通过智能技术生成

简介

实现FIFO存储器读写指针比较好的方法就是使用格雷码计数器。格雷码计数器的优势在于其相邻两个数值之间只有一位发生变化,提高了系统的抗干扰能力,而且在计数时,各个输出的门电路翻转次数要远远小于二进制计数器,从而可以大幅度降低系统的功耗。

实现格雷码计数器的步骤如下:
1. 将格雷值转换为二进制值。
2. 根据条件递增二进制值。
3. 将二进制值转换为格雷码
4. 将计数器的最终格雷值保存到寄存器中。

gray

格雷码转二进制

格雷码转二进制的公式如下:

binn1=grayn1
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值