乒乓buffer

module pingpong(

clk,
rst_n,
data_in,
data_out

);

input clk,rst_n;
input [7:0] data_in;
output reg [7:0] data_out;

reg [7:0] buffer1;
reg [7:0] buffer2;
reg state;        //0:写1读2,1:写二读1
reg flag;  //0:写1读2,1:写2读1

always @(posedge clk or negedge rst_n)begin
	if(!rst_n)begin
		state<=1'b0;
	end
	else
		state<=!state;
end

always @(*)begin
	case(state)
	1'b0:begin
		flag<=1'b0;
	end
	1'b1:begin
		flag<=1'b1;
	end
	default:
		flag<=1'b0;
	endcase
end

always @(posedge clk or negedge rst_n)begin
	if(!rst_n)begin
		buffer1<=8'd0;
		buffer2<=8'd0;
	end
	else if(!flag) begin
		buffer1<=data_in;
		data_out<=buffer2;
	end
	else begin
		buffer2<=data_in;
		data_out<=buffer1;
	end	
end

endmodule


评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值