round-robin master

module arbiter(
input clk,
input rst_n,
input [2:0] req,  //req[0]:A,req[1]:B,req[2]:C;
output reg[1:0] grant_out //2'b00 A获得总线,2'b01 B获得总线,2’10C获得
);

always @(posedge clk or negedge rst_n)begin
	if(!rst_n)begin
		grant_out <= 2'b11;  //空闲
	end
	else begin
		case(grant_out)
			2'b00:
				case(req)
					3'b000:grant_out<=2'b00;  //无请求,总线保持
					3'b001:grant_out<=2'b00;
					3'b010:grant_out<=2'b01;
					3'b011:grant_out<=2'b01;
					3'b100:grant_out<=2'b10;
					3'b101:grant_out<=2'b10;
					3'b110:grant_out<=2'b01;
					3'b111:grant_out<=2'b01;
				endcase
			2'b01:
				case(req)
					3'b000:grant_out<=2'b01;  //无请求,总线保持
					3'b001:grant_out<=2'b00;
					3'b010:grant_out<=2'b01;
					3'b011:grant_out<=2'b00;
					3'b100:grant_out<=2'b10;
					3'b101:grant_out<=2'b10;
					3'b110:grant_out<=2'b10;
					3'b111:grant_out<=2'b10;
				endcase
			2'b10:
				case(req)
					3'b000:grant_out<=2'b10;  //无请求,总线保持
					3'b001:grant_out<=2'b00;
					3'b010:grant_out<=2'b01;
					3'b011:grant_out<=2'b00;
					3'b100:grant_out<=2'b10;
					3'b101:grant_out<=2'b00;
					3'b110:grant_out<=2'b01;
					3'b111:grant_out<=2'b00;
				endcase
		default:grant_out<=2'b00;
		endcase	
	end
end

endmodule

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值