数字电路基础和Quartus-II入门

一、Quartus II的安装

下载链接
https://pan.baidu.com/s/1a9d-bq9RZmWrRV542X4IEA.
提取码:ifte
将下载好的压缩文件解压到文件夹下
在这里插入图片描述
运行可执行程序
在这里插入图片描述
进入welcom界面
在这里插入图片描述
勾选“I accept the agreement”,然后点击Next
在这里插入图片描述
选择相应安装的位置,然后点击Next
在这里插入图片描述
选择安装的内容,然后点击Next
在这里插入图片描述
点击Next
在这里插入图片描述
安装过程
在这里插入图片描述
点击Finish
在这里插入图片描述
选择OK,安装完成
在这里插入图片描述

二、Modelsim的安装

解压压缩包
在这里插入图片描述
运行可执行程序
在这里插入图片描述
进入welcom界面
在这里插入图片描述
安装位置的选择
在这里插入图片描述
点击Agree
在这里插入图片描述
开始安装
出现两次信息提示框,第一次提示是否在桌面建立快捷方式,点击“Yes”,第二次提示是否将Modelsim可执行文件放入Path变量,选择“Yes”时可以从DOS提示符执行Modelsim,这里我们选择“Yes”
在这里插入图片描述
自动安装完成,选择No
在这里插入图片描述
选择Done,安装完成
在这里插入图片描述

三、在 Quartus-II 中自己用门电路设计一个D触发器,并进行仿真,时序波形验证

1.新建工程
在这里插入图片描述
2.选择存储位置及工程名称
在这里插入图片描述
3.选择芯片
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
4.创建原理图文件
在这里插入图片描述
在这里插入图片描述
5.设计原理图
在这里插入图片描述
6.保存并编译原理图
在这里插入图片描述
7.创建 VWF 文件
在这里插入图片描述
在这里插入图片描述
8.添加原理图文件
双击空白
在这里插入图片描述
依次点击图中按钮
在这里插入图片描述
9.仿真
编辑输入 CLK,产生时钟信号
在这里插入图片描述
在这里插入图片描述
同样编辑 D
在这里插入图片描述
10.仿真结果如下
在这里插入图片描述

四、在 Quartus-II 中直接调用一个D触发器电路,进行仿真,时序波形验证

新建工程如上,调用D触发器
在这里插入图片描述
进行仿真
在这里插入图片描述

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值