verilog readmemh readmemb

用法

$readmemh("hex_mem_file", mem, [start_address], [end_address])
$readmemb("bin_mem_file", mem, [start_address], [end_address])

hex_mem_file 十六进制文本 空格分隔
bin_mem_file 二进制文本 空格分隔
mem 存储数组
start_address 起始地址 可选
end_address 结束地址 可选

reg [31:0] mem [0:15]; 
initial begin
    $readmemh("rom_image", mem);
end

十六进制 文本

aaaa   //注释
ffff
9adf
1234

二进制文本

0001   0010
1001   
1100

换行 tab 都算空格

  • 16
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

yvee

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值