自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(6)
  • 资源 (5)
  • 收藏
  • 关注

原创 matlab提取向量中的非零元素

a = [1 0 2 0 0 3 5 6];b = a(a~=0);

2014-12-23 14:14:10 19111

原创 verilog测试文件repeat的使用

repeat (100) #20Tx_fifo_wdata = Tx_fifo_wdata + 1;

2014-12-19 11:43:25 8648

原创 matlab保存数据为.txt

a= [239 254 0 0 0.......0 0 0 ]; b = zeros(1032,1); b = a; save data_source.txt b -ascii; save data_source.txt b -ascii; data_source为保存后的文件名,.txt为文件格式,b为要保存的文件。

2014-12-15 15:10:51 1769

原创 quartus调用modelsim仿真锁相环

问题:添加test文件后,输出时钟没有值原因:测试文件写的有问题,在initial begin ........end语句中缺少#100;

2014-12-11 14:33:37 2054

原创 FPGA 以太网调试

Ethernet_UDPEthernet_PINGEthernet_ARP

2014-12-05 17:53:32 3277

原创 matlab读行向量奇数项和偶数项

matlab读行向量a的奇数项和偶数项奇数项:a[1:2:end];偶数项:a[2:2:end].

2014-12-05 17:34:22 18263 3

Vivaod FFT IP核调试例子

Vivaod FFT IP核调试例子,对8点[0 1 2 3 4 5 6 7]进行FFT 变换,Vivado仿真结果和matlab仿真结果一致。

2023-04-11

SI5341.pdf

LOW-JITTER, 10-OUTPUT, ANY-FREQUENCY, ANY-OUTPUT CLOCK GENERATOR

2020-10-14

特权FPGA VIP视频图像开发套件例程详解2——DDR2控制器读写测试.pdf

本实例对 Altera 提供的 DDR2 控制器 IP 核模块进行操作,每 1.78 秒执 行一次 DDR2 的写入和读出操作。先是从 0 地址开始遍历写 256*64bits 数 据到 DDR2 的地址 0-1023 中;在执行完写入后,执行一次相同地址的读操 作,将读出的 256*64bits 数据写入到片内 RAM 中。

2020-10-14

top_rs.zip

本工程实现了RS(255,223)的编码功能,并对两组数进行了RS编码的仿真验证,最终经matlab仿真验证,结果一致。

2020-06-23

DDR3读写时序分析

对DDR3的User Interface的Command时序以及读写时序进行了详细分析

2018-10-09

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除