UVM中将UVM_ERROR改成UVM_WARNING的方法

在验证调试的过程中,会遇到这样一种情况:出现某种现象时,和设计讨论需要将其分类为bug,因此环境中需要用UVM_ERROR打印出log方便定位,但是后期该现象的bug等级下降,此时需要将原来的UVM_ERROR等级打印降到UVM_WARNING。当只有一处打印时,可以手动修改代码,但是当数量较多时,手动修改会很麻烦,此时可以利用UVM提供的现成的函数来完成这个目的。

举例:修改前

修改后:

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值