VScode中写Verilog时,iverilog语法自动纠错功能不起作用

VScode中编写Verilog时,iverilog语法自动纠错功能不起作用


问题:按照教程搭建vscode下Verilog编译环境,发现语法纠错功能一直无效,检查了扩展Verilog-HDL/SystemVerilog/Bluespec SystemVerilog的配置也没有任何问题。
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
错误原因:反复检查后发现是因为测试代码是存放在中文路径下的原因。
解决方法:确保代码和工程的路程中不含中文。
代码改为存放在英文路径下后,出现语法问题时,便能自动报错了,但不会即时显示报错,代码改动后需要ctrl+s保存之后才会显示语法是否有问题的报错。
在这里插入图片描述

  • 3
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 3
    评论
要在VScode中配置Verilog HDL,你可以按照以下步骤操作: 1. 安装Verilog插件:打开VScode,点击左侧的扩展图标(或按下`Ctrl+Shift+X`),搜索并安装适用于Verilog HDL的插件,如"Verilog HDL"或"vscode-verilog-hdl"。 2. 创建Verilog文件:在VScode中创建一个新的Verilog文件,点击左侧的文件图标(或按下`Ctrl+N`),然后将文件保存为`.v`或`.sv`扩展名。 3. 配置语法高亮:VScodeVerilog插件通常会自动识别并应用语法高亮。如果没有自动启用,请点击右下角的语言模式,选择"Verilog HDL"。 4. 配置Linting(可选):如果你希望在编写Verilog代码进行语法检查和错误提示,可以配置Linting。插件通常提供了一些配置选项,例如指定使用的Lint工具和配置文件。你可以在VScode的设置中搜索相关选项进行配置。 5. 配置代码格式化(可选):如果你希望对Verilog代码进行自动格式化,可以配置代码格式化选项。插件通常支持使用外部工具(如Verible、Verilator)进行代码格式化。你可以在VScode的设置中搜索相关选项进行配置。 6. 配置仿真和调试(可选):如果你希望在VScode中进行Verilog代码的仿真和调试,你可以寻找适用于Verilog的仿真器插件,并按照插件提供的说明进行配置。 请注意,具体的配置步骤可能因插件或工具而异。建议你参考插件的文档或查找相关教程以获取更详细的配置指导。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值