FPGA 按键防抖动,最精简的写法只有10行

最近学了FPGA 一段时间,想自己做个真实的东西,笔者也是务实求真的人,呵呵。首先就选择了一个数字钟,当我昨晚了计数器模块,做按键校准的时候,就发现按键抖动的很厉害,没有C 语言做防抖动那么简单,因此我参考了网上很多博主的观点,总结了自己认为最简单,最精简的一个写法,如下: 进程模块内代码只有10行。哈哈

 

 reg key_reg1,key_reg2,key_out;
 always @( posedge clk)//CLK 50M
    begin
    count2<=count2+1;
    if(count2==500000)
    begin
        key_reg1<=key1; 
        count2<=0;
    end
    key_reg2<=key_reg1; 
    key_out<=key_reg2&(!key_reg1); 
 end

 

意思为定义2个寄存器,存储按键在2个周期内的数据,计数器是决定按键的采样频率,如果我们把按键的采样频率调高,那么 按键就会有2次相应,我试过吧计数器去掉,但是一直都是双数增加,很让我费解,在苦思冥想之际,悟出了可以通过延时,吧后面松手的一个电平跳变滤掉,哈哈!!顿时给大家分享下最简单的防抖动,

key1 是低电平按下,当按下的时候,  key_out输出的是一个高电平。这个需要大家注意。

 

如有bug 希望大家抛砖

 

 

  • 2
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 7
    评论
评论 7
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值