verilog写12 小时时钟(带上午/下午指示器)计数器(HDLbits Count clock)

Create a set of counters suitable for use as a 12-hour clock (with am/pm indicator). Your counters are clocked by a fast-running clk, with a pulse on ena whenever your clock should increment (i.e., once per second).

reset resets the clock to 12:00 AM. pm is 0 for AM and 1 for PM. hh, mm, and ss are two BCD (Binary-Coded Decimal) digits each for hours (01-12), minutes (00-59), and seconds (00-59). Reset has higher priority than enable, and can occur even when not enabled.

The following timing diagram shows the rollover behaviour from 11:59:59 AM to 12:00:00 PM and the synchronous reset and enable behaviour.

比较蠢的方法,无限if嵌套,对着波形改了好久

module top_module(
    input clk,
    input reset,
    input ena,
    output pm,
    output [7:0] hh,
    output [7:0] mm,
    output [7:0] ss); 
    reg [7:0] hh1,mm1,ss1;
    always@(posedge clk)
        begin
        if(reset)
            begin
                ss<=0;
                hh<=8'b00010010;
                mm<=0;
            end
       else if(ena)
                begin
                    if((hh == 8'h11)&&(mm == 8'h59)&&(ss == 8'h59))
                        pm<=~pm;
                    else
                        pm<=pm;
                    if(ss[3:0]==4'd9)
                        begin
                            ss[3:0]<=0;
                            if(ss[7:4]==4'd5&&ss[3:0]==4'd9)
                                begin
                                    ss<=0;
                                    if(mm[3:0]==4'd9)
                                        begin
                                            mm[3:0]<=0;
                                            if(mm[7:4]==4'd5&&mm[3:0]==4'd9)
                                                 begin
                                                     mm<=0;
                                                     if(hh[3:0]==4'd9)
                                                         begin
                                                             hh[3:0]<=4'b0;
                                                             hh[7:4]<=1;
                                                         end
                                                     else if(hh[7:4]==4'd1&&hh[3:0]==4'd2)
                                                           hh<=1;
                                                     else
                                                         hh[3:0]<=hh[3:0]+1'b1;
                                                 end
                                            else
                                                mm[7:4]<=mm[7:4]+1'b1;
                                        end
                                    else
                                        mm[3:0]<=mm[3:0]+1'b1;
                                end
                            else
                                ss[7:4]<=ss[7:4]+1'b1;
                        end
                    else
                        ss[3:0]<=ss[3:0]+1'b1;
                end            
        end
endmodule

  • 13
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
下面是一个基于 Verilog 的可变模加/减法计数器的设计,有注释解释每个模块的功能和实现思路。 ```verilog module counter ( input clk, // 时钟信号 input reset, // 复位信号 input signed [7:0] increment, // 增量 input signed [7:0] decrement, // 减量 input signed [7:0] limit, // 计数器上限 output reg signed [7:0] count // 计数器输出 ); // 定义临时变量 reg signed [7:0] temp_count; // 处理复位信号 always @ (posedge clk) begin if (reset) begin count <= 0; // 计数器归零 end end // 处理计数逻辑 always @ (posedge clk) begin if (!reset) begin temp_count <= count + increment - decrement; // 计算新的计数值 if (temp_count > limit) begin count <= limit; // 达到上限,计数器停止计数 end else if (temp_count < 0) begin count <= 0; // 达到下限,计数器停止计数 end else begin count <= temp_count; // 更新计数器的值 end end end endmodule ``` 这个 Verilog 模块包含一个时钟信号、一个复位信号、一个增量、一个减量和一个计数器上限作为输入,一个计数器输出作为输出。计数器可以根据增量和减量的值在指定的上限内进行加/减运算。 在模块中,首先定义了一个名为 `temp_count` 的临时变量,用于计算新的计数值。然后在两个 `always` 代码块中分别处理复位和计数逻辑。 在复位代码块中,当复位信号为高电平时,计数器将被归零。 在计数代码块中,当复位信号为低电平时,首先计算新的计数值 `temp_count`,然后根据上限和下限对计数器的值进行更新。如果 `temp_count` 的值超过了上限,则计数器的值将保持在上限值,停止计数;如果 `temp_count` 的值小于 0,则计数器的值将保持在 0,停止计数;否则,计数器的值将被更新为 `temp_count` 的值。 这个模块还可以进一步改进,例如加入一个使计数器可以设置初始值的输入端口。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值