FPGA设计入门

本文档介绍了如何使用Quartus-II 13.0设计一个1位全加器,涵盖了从新建工程到原理图输入、Verilog编程、元件转换、仿真及全加器顶层设计的详细步骤,特别强调了半加器的转换和仿真过程。
摘要由CSDN通过智能技术生成

任务描述

基于Quartus-II软件完成一个1位全加器的设计,分别采用:1)原理图输入 以及 2)Verilog编程 这两种设计方法。软件基于quartusII 13.0版本,开发板基于Intel DE2-115

具体步骤

1. 新建工程
File->New Project Wzard,然后点击两次next,然后为新建的工程选择工程目录和名字,再点击next,选择如图所示的芯片:cyclonrVE系列的EP4CE11529C7芯片.在这里插入图片描述
然后一路点击next,就完成了新工程的创建。在这里插入图片描述
这里展示了一些项目的基本信息。
2. 新建原理图文件

  • 新建原理图文件,点击File->New,选择图中的选项:在这里插入图片描述
    点击OK即可打开原理图的编辑窗。
  • 在编辑窗中调入原件,完成半加器的原理图输入
    本次半加器主要用到了and2、xnor、input以及output四个原件,可以通过双击空白处进入到搜
  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值