基于BASYS3的VHDL交通灯控制器——有限状态机(FSM)

本文介绍了使用VHDL在BASYS3 FPGA上设计的交通灯控制器,该控制器基于有限状态机(FSM)。设计要求主干道和支干道交替放行,绿灯35s,红灯25s,黄灯5s过渡。特殊状态下,所有路口显示红灯。文中包含主代码、测试文件和约束文件的详细内容,适合作为新手学习状态机、数码管扫描和时钟分频的实践案例。
摘要由CSDN通过智能技术生成

一、设计要求

设计一个交通控制器,用LED显示灯来表示交通状态,并以7段数码管显示器显示当前状态的剩余秒数,集体要求如下:  
  1. 主干道绿灯亮时,支干道红灯亮,反之亦然,两者交替允许通行,主干道每次放行35s,支干道每次放行25s。每次由绿灯变为红灯的过程中,亮光的黄灯作为过渡,黄灯时间为5s,状态转换如下表所示。
  2. 能实现正常的倒计时显示功能。
  3. 能实现特殊状态的功能显示:进入特殊状态时,主干道、支干道路口均显示红灯状态。

二、设计原理

根据要求可以画出交通灯点亮规律的状态转换表,如下表所示。由表可知共有4个状态,可以利用状态机来实现各种状态之间的转换。
状态 主干道 支干道 时间
S1 绿灯亮 红灯亮 35s
S2 黄灯亮 红灯亮 5s
S3 红灯亮 绿灯亮 25s
S4 红灯亮 黄灯亮 5s

三、相关代码

1、主代码

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity traffic_light is
    Port ( 
           clk      : in STD_LOGIC;                          --系统时钟100MHZ
           jin      : in STD_LOGIC;                          --禁止通行信号
           scan     : out STD_LOGIC_VECTOR (3 downto 0);    --数码管片选信号
           seg7     : out STD_LOGIC_VECTOR (6 downto 0);    --数码管七段显示控制信号abcdefg
           a_ryg    : out STD_LOGIC_VECTOR (2 downto 0);    --主干道红、黄、蓝灯  
           b_ryg    : out STD_LOGIC_VECTOR (2 downto 0)     --支干道红、黄、蓝灯          
           );          
end traffic_light;

architecture Behavioral of traffic_light is
  type states is (s1,s2,s3,s4);                                 --定义四种状态
  signal state            : states:=s1;                         --当前状态
  signal clk_1khz,clk_1hz : std_logic:='0';                    --分频信号1khz,1hz
  signal count1           : integer range 0 to 49999:=0;       --1khz分频计数信号
  signal count2           : integer range 0 to 499:=0;         --1hz分频计数信号
  signal ten,one          : std_logic_vector(3 downto 0);     --倒计时的十位、个位
  signal cnt              : integer range 0 to 1:=0;           --数码管扫描计数信号
  signal data             : std_logic_vector(3 downto 0);
  signal seg7_temp        : std_logic_vector(6 downto 0):=(others=>'1');
  signal a                : std_logic_vector(2 downto 0);             --主干道红、黄、绿交通灯
  signal b                : std_logic_vector(2 downto 0);             --支干道红、黄、绿交通灯
  signal qh               : std_logic_vector(3 downto 0);             --计数的高位
  signal ql               : std_logic_vector(3 downto 0);             --计数的低位
 
begin
--1khz分频计数
  count1_gen:process(clk)  
  begin
    if(clk'event and clk='1')then
      if(count1=49999)then                -- N=(clk/clk_1khz)/2-1
        count1<=0;
      else
        count1<=count1+1;
      end if;
    end if;
  end process;

--1khz分频(用于扫描数码管)
  clk_1khz_gen:process(clk)
  begin
    if(clk'event and clk='1')then
      if(count1=0)then
        clk_1khz<=not clk_1khz;
      else
        clk_1khz<=clk_1khz;
      end if;
    end if;
  end process;
  
--1hz分频计数
  • 3
    点赞
  • 63
    收藏
    觉得还不错? 一键收藏
  • 4
    评论
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值