cadence中原理图层次化设计

概述

        层次化电路图设计是一种模块化的设计方法,用于在电路非常复杂的情况下使原理图变得更加清晰。它包括两种设计方法:自上而下设计和自下而上设计。

        自上而下设计:先设计好模块化后的封装器件,再设计该器件具体的电路。

        自下而上设计:先设计好每个模块的原理图,再将每个模块的原理图进行生成器件的操作。

        在设计过程中,我们首先需要根据电路的功能将电路图分成若干个子电路,然后通过主图连接各个子电路。这样,复杂的电路就被简化了。

层次化电路图设计优点

        结构清晰:层次化电路图将整个电路按不同的功能分成若干个子电路,每个子电路负责一部分特定的功能,这样可以使整个电路的设计结构更加清晰,便于理解和操作。

        模块化:将电路划分为若干个子电路,每个子电路可以被独立设计和验证,这样可以提高设计的效率和可靠性。同时,模块化也可以方便后续的维护和更新。

        可重复调用:每个子电路都是一个独立的模块,可以重复调用,这样可以在不同的电路设计中复用这些模块,提高设计的效率。

        便于交流:层次化电路图可以使用方块图等简洁的符号来表示,使得交流更加方便,便于在不同的工程师之间进行沟通和协作。

        总之,层次化电路图设计是一种有效的电路设计方法,可以帮助我们更高效地处理复杂的电路设计任务,提高设计效率和可靠性。

原理图自下而上层次化设计步骤

1,在Cadence中创建一个原理图Project,执行File---New---Project,点击OK。如图:

2,选中工程文件,新建New Schematic,在New Schematic下新建原理图页PAGE;如图:

3,在原理图页中设计具体的电路,包括所有的元件和连接。

        3.1 所有元器件的位号使用’?’(如R?、C、U?),方便后续使用Annotate进行自动编号;

        3.2 不使用pin使用No connect标记

        3.3 同一层次内的信号,页与页之间用 Place Off-Page Connector。如图:

        3.4 引出同层次外的信号,使用Place Hierarchical Connector如图:

4,打开顶层模块原理图页,然后执行菜单命令Place-->Hierarchical Block,将它们封装为一个层次块。Reference:根据模块实际填写;Implementtation Type选择Schematic View;Implementtation name选择你要调用的模块;其他选项选择默认;点击ok;如图:

5 ,在顶层模块原理图页,鼠标会变成一个‘+’符号;长按左键拖动鼠标,层次块在在顶层原理图页调用成功。如图:

6,在顶层原理图页中,将之前创建的层次块拖拽到合适的位置,调整层次块的大小和pin的位置,并连接它们的端口。

总结

1,在需要修改具体电路的情况下,可以在顶层原理图页中双击某个层次块,进入该层次块的原理图页进行修改。

2,当原理图有改动时,选中框图中层次模块,点击鼠标右键,菜单中Synchronize Up向上更新, Synchronize Down向下更新。

        通过这种自下而上的方法进行原理图层次化设计,可以更好地组织和管理电路图,使得电路更加清晰易懂,同时还可以方便地进行模块化的设计和修改。

  • 2
    点赞
  • 16
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Cadence HDL原理图设计教程是针对初学者和有一定基础的工程师开发的一个指导教程,旨在帮助用户通过Cadence软件进行HDL(硬件描述语言)原理图设计。该教程涵盖了从基本概念到高级技巧的内容,使用户能够熟悉Cadence工具的使用,从而有效地进行HDL原理图设计。 教程的主要内容包括如下几个方面: 1. Cadence HDL工具介绍:该部分主要介绍Cadence工具的功能和特点,帮助用户了解其在HDL原理图设计的作用。 2. HDL基础知识:此部分涵盖了主要的HDL硬件描述语言,如VHDL和Verilog,以及其语法和基本概念的讲解。这将为用户提供必要的基础理论知识,以便更好地使用Cadence工具进行设计。 3. Cadence环境设置:在这一部分,教程将详细介绍如何正确设置Cadence环境,并配置所需的库文件和工具选项,以确保正确进行HDL原理图设计。 4. HDL原理图设计实例:在这一部分,教程将提供一些实际的HDL原理图设计示例,并逐步引导用户完成每个设计步骤。这将帮助用户熟悉Cadence工具的操作流程,并掌握一些常见的设计技巧。 5. 问题解答和实践:最后,教程将提供一些常见问题的解答和一些实际的设计挑战,供用户进行实践和巩固学习成果。 通过学习Cadence HDL原理图设计教程,用户将能够熟练掌握Cadence工具的使用,理解HDL硬件描述语言的基本概念和语法,以及进行HDL原理图设计的基本步骤和技巧。这将为用户提供一个可靠的基础,使其能够更好地进行电路设计和开发工作。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值