一、前言
之前用debussy+modelsim联合仿真,主要是当时以为modelsim每加一个信号都需要重新编译,非常麻烦。而debussy+modelsim联合仿真,生产fsdb波形后,所有信号都可以直接看。
后来发现QuestaSim/modelsim只需要加如下指令,也能实现一次编译所有信号。
add log -r /*
modelsim是仿真用的,有32位和64位两个版本,需要破解。
32位可以配合debuusy查看波形。64位的不行,只能再moelsim里面看波形。
再加上debussy要多搭一个软件环境,所以相比较而言QuestaSim单独仿真还是很有优势的。
二、环境搭建
1,文件夹结构
2、run_modelsim.bat
@ECHO OFF
SET debussy=D:\Novas\Debussy\bin\Debussy.exe
SET vsim="C:\Program Files\questasim_10.7c\win32\vsim.exe"
rem %vsim% -c -do modelsim.do
%vsim% -do modelsim.do
3、modelsim.do
vmap altera_lnsim_ver "C:/Program Files/questasim_10.7c/quartus_A10_lib/verilog_libs/altera_lnsim_ver"
vmap altera_mf_ver "C:/Program Files/questasim_10.7c/quartus_A10_lib/verilog_libs/altera_mf_ver"
vmap altera_ver "C:/Program Files/questasim_10.7c/quartus_A10_lib/verilog_libs/altera_ver"
vmap lpm_ver "C:/Program Files/questasim_10.7c/quartus_A10_lib/verilog_libs/lpm_ver"
vmap sgate_ver "C:/Program Files/questasim_10.7c/quartus_A10_lib/verilog_libs/sgate_ver"
vmap twentynm_hip_ver "C:/Program Files/questasim_10.7c/quartus_A10_lib/verilog_libs/twentynm_hip_ver"
vmap twentynm_hssi_ver "C:/Program Files/questasim_10.7c/quartus_A10_lib/verilog_libs/twentynm_hssi_ver"
vmap twentynm_ver "C:/Program Files/questasim_10.7c/quartus_A10_lib/verilog_libs/twentynm_ver"
vlib work
vmap work work
alias b "vlog -incr -work work +define+_mSIM+DP_2TX -f ./filelist.f -vlog01compat +incdir+F:/********/prj"
alias r "restart -force"
alias ra "run -all"
b
vsim \
-L altera_lnsim_ver \
-L altera_mf_ver \
-L altera_ver \
-L lpm_ver \
-L sgate_ver \
-L twentynm_hip_ver \
-L twentynm_hssi_ver \
-L twentynm_ver \
-L work \
tb_ddr_1st_buffer
add log -r /*
do wave.do
# run 1000ms
# vmap -del altera_lnsim_ver
# vmap -del altera_mf_ver
# vmap -del altera_ver
# vmap -del lpm_ver
# vmap -del sgate_ver
# vmap -del twentynm_hip_ver
# vmap -del twentynm_hssi_ver
# vmap -del twentynm_ver
# vmap -del gxb_pll_altera_xcvr_atx_pll_a10_161
# vmap -del gxb_reset_altera_xcvr_reset_control_161
# vmap -del gxb_tx_vx1_altera_xcvr_native_a10_161
# quit
ra
4、filelist.f
../testbench/1st_buffer.v
../testbench/test.v
5、wave.do
该文件为软件自动生成
6、编译库略,见我的《FPGA配合debussy、modelsim仿真环境搭建》
https://blog.csdn.net/zhangkui469226425/article/details/105949255?spm=1001.2014.3001.5501
三、其它说明
1,运行方法。直接cmd执行run_modelsim.bat
2、alias用于自定义快捷指令
上述modelsim.do举例定义了 b 编译 ,r restart ,ra 跑波形。可以不用每次都run_modelsim.bat
3、+incdir+ 指令用于.v文件包含头文件的情况
4,add log -r /*,用于添加所有信号。重点重点重点!之前放弃modelsim就是因为不知道有这个!