QuestaSim/modelsim单独仿真

一、前言

之前用debussy+modelsim联合仿真,主要是当时以为modelsim每加一个信号都需要重新编译,非常麻烦。而debussy+modelsim联合仿真,生产fsdb波形后,所有信号都可以直接看。
后来发现QuestaSim/modelsim只需要加如下指令,也能实现一次编译所有信号。

add log -r /*

modelsim是仿真用的,有32位和64位两个版本,需要破解。
32位可以配合debuusy查看波形。64位的不行,只能再moelsim里面看波形。
再加上debussy要多搭一个软件环境,所以相比较而言QuestaSim单独仿真还是很有优势的。

二、环境搭建

1,文件夹结构
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
2、run_modelsim.bat

@ECHO OFF
SET debussy=D:\Novas\Debussy\bin\Debussy.exe

SET vsim="C:\Program Files\questasim_10.7c\win32\vsim.exe"

rem %vsim% -c -do modelsim.do
%vsim% -do modelsim.do

3、modelsim.do

vmap altera_lnsim_ver    "C:/Program Files/questasim_10.7c/quartus_A10_lib/verilog_libs/altera_lnsim_ver"
vmap altera_mf_ver       "C:/Program Files/questasim_10.7c/quartus_A10_lib/verilog_libs/altera_mf_ver"
vmap altera_ver          "C:/Program Files/questasim_10.7c/quartus_A10_lib/verilog_libs/altera_ver"
vmap lpm_ver 			 "C:/Program Files/questasim_10.7c/quartus_A10_lib/verilog_libs/lpm_ver"
vmap sgate_ver 			 "C:/Program Files/questasim_10.7c/quartus_A10_lib/verilog_libs/sgate_ver"
vmap twentynm_hip_ver    "C:/Program Files/questasim_10.7c/quartus_A10_lib/verilog_libs/twentynm_hip_ver"
vmap twentynm_hssi_ver   "C:/Program Files/questasim_10.7c/quartus_A10_lib/verilog_libs/twentynm_hssi_ver"
vmap twentynm_ver        "C:/Program Files/questasim_10.7c/quartus_A10_lib/verilog_libs/twentynm_ver"

vlib work
vmap work work

alias b "vlog -incr -work work +define+_mSIM+DP_2TX -f ./filelist.f -vlog01compat +incdir+F:/********/prj"
alias r "restart -force"
alias ra "run -all"

b

vsim \
    -L altera_lnsim_ver    \
    -L altera_mf_ver       \
    -L altera_ver          \
    -L lpm_ver \
    -L sgate_ver    \
    -L twentynm_hip_ver    \
    -L twentynm_hssi_ver   \
    -L twentynm_ver        \
    -L work \
    tb_ddr_1st_buffer

add log -r /*
do wave.do

# run 1000ms

# vmap -del altera_lnsim_ver
# vmap -del altera_mf_ver
# vmap -del altera_ver
# vmap -del lpm_ver
# vmap -del sgate_ver
# vmap -del twentynm_hip_ver
# vmap -del twentynm_hssi_ver
# vmap -del twentynm_ver
# vmap -del gxb_pll_altera_xcvr_atx_pll_a10_161
# vmap -del gxb_reset_altera_xcvr_reset_control_161
# vmap -del gxb_tx_vx1_altera_xcvr_native_a10_161

# quit

ra

4、filelist.f

../testbench/1st_buffer.v
../testbench/test.v

5、wave.do
该文件为软件自动生成

6、编译库略,见我的《FPGA配合debussy、modelsim仿真环境搭建》
https://blog.csdn.net/zhangkui469226425/article/details/105949255?spm=1001.2014.3001.5501

三、其它说明

1,运行方法。直接cmd执行run_modelsim.bat

2、alias用于自定义快捷指令
上述modelsim.do举例定义了 b 编译 ,r restart ,ra 跑波形。可以不用每次都run_modelsim.bat

3、+incdir+ 指令用于.v文件包含头文件的情况
在这里插入图片描述
4,add log -r /*,用于添加所有信号。重点重点重点!之前放弃modelsim就是因为不知道有这个!

  • 0
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
Questasim是一款由Mentor Graphics公司提供的高度可定制化、适用于各种数字电路仿真的工具。下面我会介绍Questasim的搭建过程。 1. 确认系统要求 在搭建Questasim之前,请确保您的系统满足以下要求: - 操作系统:Windows、Linux或UNIX。 - 电脑处理器:Intel Pentium或AMD Athlon。 - 运行内存:至少1GB RAM,建议2GB以上。 - 磁盘空间:至少4GB空闲空间,建议8GB以上。 2. 下载Questasim 您可以在Mentor Graphics官网上下载Questasim。下载后,根据提示安装Questasim软件。 3. 添加环境变量 完成安装后,请将Questasim的安装路径添加到系统环境变量中,这可以让您在任何目录下都可以使用Questasim的命令。 4. 运行Questasim 打开终端或命令行窗口,输入以下命令来启动Questasim: vsim [options] [designfiles] 其中,designfiles参数是您要仿真的设计文件。 5. 创建仿真模型 为了在Questasim仿真您的电路设计,您需要创建一个仿真模型。这个模型应该包含您的设计文件、仿真参数和仿真器设置等信息。 6. 运行仿真 完成仿真模型的设置后,您可以通过在Questasim中输入仿真命令来启动仿真。根据仿真模型中设置的仿真参数,您可以观察电路的运行情况,并进行调试和仿真结果的分析。 综上所述,搭建Questasim需要确认系统要求、下载软件、添加环境变量、创建仿真模型和运行仿真。在完成这些步骤后,您就可以轻松地使用Questasim对数字电路进行仿真了。
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值