自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

吉大秦少游

志不强者智不达

  • 博客(10)
  • 资源 (26)
  • 问答 (20)
  • 收藏
  • 关注

原创 二进制和格雷码转换方法及程序

进制转格雷码:简单来说就是把二进制码右移一位再与二进制异或。assign wr_poi_gray = wr_poi ^ (wr_poi>>1); //produce wr pointer gray code;格雷码转二进制:格雷码转二进制是从左边第二位起,将每位与左边一位二进制码的值异或,作为该位二进制码的值。比如四位的码:bin[3] = gray[3];bin[2] = gray[2]^bin[3];bin[1] = gray[1]^bin[2];bin[0] = gray

2020-10-26 12:50:11 1581 1

原创 FPGA之同步FIFO篇

我想大家都知道,队列是计算机系统中一种比较基本的数据结构。作为队列中的一种,先进先出的FIFO是一种比较常用的存储器单元。FIFO通常有读允许端口、写允许端口、数据输入端口、数据输出端口、FIFO状态端口等信号端口。其中,FIFO状态端口输出当前FIFO的状态——满、未满或空。16*16位FIFO的功能框图如下。其中,clock为系统时钟信号输入,reset为系统复位信号,read为读数据信号允许信号,write为写入FIFO允许信号,fifo_in[15:0]为数据输入,fifo_out[15:0]为数

2020-10-26 12:31:59 709

原创 python 程序员需要掌握的100个模块

本文所列举的这100个模块,是我在工作和学习中用过的或者正在学习的,算是对自己过去12年的学习总结。希望对处在迷茫中的程序员有所帮助。英汉小词典pythoneer - 指所有用python语言开发程序的人pythonista - 意为资深的、追求质量和品味的python开发者在这个列表中,我把模块分成11大类:1. 基础类2. 数据库接口类3. 网络通讯类4. 音像游戏类5. GUI类6. web框架类7. 科学计算类8. 2D/3D类9. 数据处理类10. 机器学习类1

2020-10-21 23:51:08 475

原创 经典解析:《一行Python代码能实现什么》及其解析

逛博客时偶然看到这篇文章,也见识了Python代码简洁、高效的魅力。对几个经典案例产生了兴趣,解读了一下,如下:背景背景是作者在处理头部CT的断层扫描图片时,需要将109张图片数据读出来,组织成一个三维的数据结构,如下:作者在引入numpy和PIL之后的代码如下:data = np.stack([np.array(Image.open('head%d.png'%i)) for i in range(109)], axis=0)其实,可以写成这样:data = list()for i in r

2020-10-20 00:07:55 310

转载 python中yield的用法详解——最简单,最清晰的解释

首先我要吐槽一下,看程序的过程中遇见了yield这个关键字,然后百度的时候,发现没有一个能简单的让我懂的,讲起来真TM的都是头头是道,什么参数,什么传递的,还口口声声说自己的教程是最简单的,最浅显易懂的,我就想问没有有考虑过读者的感受。接下来是正题:首先,如果你还没有对yield有个初步分认识,那么你先把yield看做“return”,这个是直观的,它首先是个return,普通的return是什么意思,就是在程序中返回某个值,返回之后程序就不再往下运行了。看做return之后再把它看做一个是生成器(ge

2020-10-19 19:38:28 267

转载 Python十大技巧语法

Python十大装B语法1. for - else2. 一颗星(*)和两颗星(**)3. 三元表达式4. with - as5. 列表推导式6. 列表索引的各种骚操作7. lambda函数8. yield 以及生成器和迭代器9. 装饰器10. 巧用断言assertPython 是一种代表简单思想的语言,其语法相对简单,很容易上手。不过,如果就此小视 Python 语法的精妙和深邃,那就大错特错了。本文精心筛选了最能展现 Python 语法之精妙的十个知识点,并附上详细的实例代码。如能在实战中融会贯通、灵活

2020-10-19 19:29:41 409 1

转载 FPGA设计基础交流【汇总贴】

【问题1.1】如何知道ddr3里不空,可以读取了?答:DDR3和RAM一样,是一个存储器件,它的每个内部单元都存储了当前的数据状态值。但DDR3和RAM本身,是没有“空”、“存有数据”,“数据满”等概念的。只要给地址,它就会把当前地址的数据给到总线上,而且这个地址可以读很多次。在设计的时候,是由设计师用自己写逻辑来判断DDR3是否为空。【问题2】SPI多字节发送是多个字节连在一起发还是分成单字节来进行发送?答:每款芯片的数据手册,都会有时序图的介绍,需要按照芯片数据手册的要求进行通信。每款芯片的要

2020-10-19 18:05:20 418

转载 LVDS 显示屏的两种接口标准:VESA 和 JEIDA;单/双路 LVDS 信号

文章目录一、什么是LVDS?二、LVDS 两种接口标准比较三、单路和双路 LVDS 信号一、什么是LVDS?LVDS,Low Voltage Differential Signaling,低压差分信号,传输速率可达几百Mbps(下文会用到)。二、LVDS 两种接口标准比较这两种接口标准的区别在于:每对低压差分信号上传输的 R、G、B 三色的位数和 HS 、VS、DE 位置不同。8bit 液晶屏需要 4 对差分对传输 R、G、B 三色和 HS 、VS、DE控制信号(1)对应到 VESA 接

2020-10-13 12:22:10 16298 5

转载 最强Verilog例化说明

文章目录Verilog例化说明1.什么是模块例化?为什么要例化?2.实例说明例化方法Verilog例化说明1.什么是模块例化?为什么要例化?模块例化可以理解成模块调用。对于一个FPGA工程,通常是由一个顶层模块与多个功能子模块组成,为了实现顶层模块与子模块的连接,需要进行模块间的例化(或说是调用)。在一个FPGA项目工程中,其输入、输出端口命名通常在设计前期就已确定下来,但会存在一些中间变量,一个工程可能会让不同的工程师在不同的时间段内共同完成,不同的人对于这些变量的命名会有所不同,故例化很有必要。

2020-10-12 10:54:17 3101 1

转载 FPGA内部结构、配置模式

1 FPGA的内部结构主要包括以下5个方面:可编程逻辑门阵列:由最小的逻辑单元LE组成;可编程输入输出单元 IOE:input output element;嵌入式SRAM块:为M4K块,每个SRAM大小为4k,掉电丢失;布线网络;PLL锁相环:最大可倍频至250Mhz基于查找表的FPGA逻辑单元是FPGA的重要组成部分。一个逻辑单元(LE,Logic Element )包含一个4输入的查找表,同时加上一个寄存器。那么它们是如何工作的呢?基于LUT(Look Up Table)和SR

2020-10-09 20:24:20 986

图像缩放算法的超简单讲解.pdf

图像缩放算法的超简单讲解,举了很简单的例子,可以当做入门材料读一下,比较简单。如需要看代码,研究双线性插值、边缘插值等可以订阅我的博客。

2020-08-05

VESA标准RV1.pdf

是VESA官方视频格式说明文件,VESA有很多视频格式的说明,每一个说明都详细地说明了某个视频格式水平帧率、垂直帧率等参数。

2020-07-20

利用GUI制作信号分析小工具.zip

利用Matlab-GUI制作信号分析的频域和时域分析的工具。包括对应的.m和.fig文件,可以直接使用。避免每一次都进行繁琐的傅里叶变换。

2020-02-13

plot_function.zip

利用GUI制作中学初等函数图像绘制器。以前高中的时候想过有没有什么工具能立刻画出函数图像,受于当时的知识限制,不知道matlab。前段时间看了点GUI,就想做一个工具,方便使用。工具界面如下图。

2020-02-13

传递函数响应.zip

利用matlab的GUI制作传递函数响应分析工具,并打包成EXE文件。一个问题就是生成可独立运行程序exe了。过程还是比较简单的。首先要设置编译器:在命令行窗口输入mbuild -setup和mex -setup选择编译器,注意如果没有编译器的话要安装。我这里遇到的一个问题是提示mbuild未包含在你安装的产品中,这就比较尴尬了,说明matlab安装的时候没有安装Matlab compiler SDK,一般大家在安装的时候选上安装所有组件就OK吧。

2020-02-13

单级钟摆LQR控制 - CSDN吉大秦少游.zip

《单级钟摆LQR控制_吉大秦少游.zip》包含可仿真实现的MATLAB/Simulink模型及其参数

2019-11-29

idpEdit.py

Apollo地图中计算车辆行驶路线的脚本,得到的idp文件可以供车辆循迹行驶

2019-07-21

Exercise_DecisionTree and Random Forest.ipynb

决策树与随机森林的Python代码,配套印第安人糖尿病的数据集。

2019-07-17

Decision Tree and Random forest.ipynb

决策树与随机森林的Python代码,搭配博客和上传的数据集学习更有效!

2019-07-17

pima-indians-diabetes.txt

这是印第安人糖尿病数据集,包含了bmi,age等等影响糖尿病的因素,是博主博客决策树与随机森林所应用到的数据集

2019-07-17

员工离职因素数据集

这是员工离职因素数据集,包含了员工工作时长,KPI打分等等影响离职的因素,是博主博客决策树与随机森林所应用到的数据集

2019-07-17

channel_v3.rar

Sublime中的channel_v3.rar,配个package control,用来管理package,非常好用,建议配合我的博客阅读使用。

2019-06-27

package control.rar

Sublime中的Package Control,用来管理package,非常好用,建议配合我的博客阅读使用。

2019-06-27

CalCulator.py

使用Python3的tkinter开发的计算器小程序,通过pyinstaller封装成可执行文件。

2019-06-26

OpenDRIVE详细地图格式说明

OpenDRIVE详细地图格式说明,提供了VIRES公司的详细地图格式说明,是地图行业专业的说明文档,适用于无人驾驶或者地图定位研究

2019-04-10

PreScan最佳学习资料

网上所能找到的PreScan最佳学习资料。主要是为期两天的教学PPT,也有案例指导,很有学习价值,极大的提高学习速度。

2019-03-15

可能是史上最小最好用的免费分屏软件

可能是史上最小最好用的免费分屏软件,特别适合理工科建模仿真或者文科生同时处理多个文档,大幅度提高工作效率。

2018-08-02

快速排序、选择排序、冒泡排序、希尔排序等6种排序算法C实现

快速排序、选择排序、冒泡排序、希尔排序、插入排序、懒人排序等6种排序算法C实现

2018-04-10

带有图形库函数头文件的VC++6.0

带有图形库函数头文件的VC++6.0,软件带有EGE图形库,可直接使用!

2018-04-09

EasyX2018春分版软件

EasyX2018春分版软件,直接安装即可,会自动检索VC++6.0和Visual Studio。便于VC++6.0和Visual Studio图形化编程。

2018-04-09

EasyX学习指导电纸书

EasyX学习指导电纸书提供了各种图形库函数的介绍和案例,是C++图形编程的良好资料!

2018-04-09

1900年之后任意一年的日历程序

详尽的代码注释,优秀的编程风格。内含可运行完成c程序,没有包含《C语言科学与艺术》中作者自己写的头文件,也能运行。

2018-04-08

21点游戏C语言源代码和游戏应用程序

提供了利用c语言开发的21点游戏的源代码,以及exe可执行文件,是C语言学习的良好资料!

2018-03-24

仅5M小巧好用的PDG文件阅读器

小巧好用的PDG文件阅读器,免安装,好用直接上手!直接解决不能看该类文件的问题。良心软件,安全易用。小巧好用的PDG文件阅读器,免安装,好用直接上手!直接解决不能看该类文件的问题。良心软件,安全易用。

2017-09-25

simulink中如何把仿真中实时计算的像素点及对应坐标显示出来,最后应显示一张照片?

2020-08-11

C++字符串处理:为何不能去掉s3=s1;这一句代码

2018-11-29

1020 月饼 ,测试案例有三个不能通过,提示错误,求帮助!

2018-11-22

1014 福尔摩斯的约会,测试案例4提示Segmentation Fault,找不出错误~,求助!

2018-11-21

1013 数素数 测试点4通过不了,请教有何问题

2018-11-21

PAT乙级1079测试点2/3/4提示答案错误,有哪些情况未考虑到?

2018-11-18

1072 开学寄语,AC时三个测试都通不过,提示格式错误,答案错误,求助

2018-11-14

1066 图像过滤,AC时为什么提示超时?

2018-11-12

PAT乙级1059 C语言竞赛 测试点2通过不了,求助呀

2018-11-11

1054 求平均值 V1 提问?字号大的那几行什么意思。为什么a[j]!=b[j]判断可以检测出输入有误的部分?

2018-11-06

PAT1045 以下两段代码,只有打印输出部分不同,但是代码2可以AC,代码1不行,差别在哪里?

2018-11-04

PAT1045运行超时我知道原因,还提示格式错误是哪里有问题

2018-11-04

1034 有理数四则运算 测试点2通过不了,求助

2018-10-31

1070 结绳 代码疑惑,代码意思是一样的呀

2018-10-28

1028 人口普查最后一个测试点通过不了

2018-10-28

1025 反转链表 ,提交没有AC,单独测试倒是可以

2018-10-26

PAT1005 继续(3n+1)猜想,提示Segmentation Fault

2018-10-25

PAT1024提交时出现问题,提示Segmentation Fault。请帮忙解决

2018-10-24

PAT1020月饼,为什么市场需求need每次还都是20 呢?

2018-10-23

PAT1019 数字黑洞 提交时Time Limit Exceeded

2018-10-23

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除