testbench简单范例

//timescale 仿真时间单位/时间精度(时间精度不能比时间单位还要大)
timescale 1ns/1ps
//定义一个无输入无输出的Moudle
module Led_clg_tst();

//被测设计的输入信号,对应测试脚本的输出信号(注意要定义成reg)
reg clk;
reg rst_n;
//被测设计的输出信号,对应测试脚本的输入信号(注意要定义成wire)
wire led;

//例化待测模块
Led led_test
(
.clk(clk),
.rst_n(rst_n),
.led(led)
);
//使用Initail生成rst_n激励
initial
begin
//监控Led信号变化
monitor( time,”led value= %b\n”,led);

//复位信号产生
rst_n = 1'b1;
clk = 1'b0;
#1000
rst_n =1'b0;

//延时50个us
repeat(50) #1000;

end

//使用alwasys模拟产生25M的时钟信号
always #20 clk = ~clk;

endmodule

  • 1
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值