ZYNQ学习:开发流程

开发流程

 第一步:新建工程目录

第二步:完成文档doc设计

1.完成原理图绘制

2.完成真值表或者波形图绘制

可以用绿色代表输入信号,黄色代表输出信号

3.完成引脚分配原理图设计

4.保存Visio文件,起好名字

 第三步:编写RTL代码,对着波形图进行功能编写

编写完成后保存文件 

第四步:仿真验证代码检查错误

1.编写tb文件,用于仿真测试

1.打开ModelSim软件,新建工程,添加文件,点击开始全部编译

2.全部显示正确证明没有语法错误

3.然后点击Simulate开始仿真

4.添加需要仿真的所有文件

5.启用Full_Debug模式,然后点击OK开始仿真

6.添加已经实例化的模块进入波形界面

右键然后add wave(不要添加上面那几个)

7.调整运行时间,开始仿真

8.点击ZOOM FULL观察全局并放大,进行时序分析

9.如果不对就回去修改RTL代码和设计,直到功能正确为止 

第五步:建立VIVADO工程

1.打开VIVADO新建一个工程

2.选定工程目录

起好名字,不要中文

(不创建工程子目录,直接手动创建)

3. 选择RTL_Project

 4.添加RTL文件

也可以不添加,一开始就先创建工程

全部保持默认

5.添加约束文件

可以先不添加

6.筛选后选好开发的芯片

7. 总结页面点击完成

第六步:分析、综合、实现、下载

1.使用VIVADO自带仿真器也可以对RTL代码进行仿真

如图

2.点击Open Elaborated Design就可以对设计进行分析

3.接下来需要进行IO引脚约束

(右上角切换布局)

需要按照开始的设计文件进行约束

这样设置后会生成xdc文件,这就是约束文件,当然也可以直接添加这个文件进行约束,参考内容是开发板给出的约束文件

4.接下来对代码进行综合

可以选择尽量多的CPU参与工作加快速度,在右上角选择默认布局(default layout)可以选择在Project summary中查看综合进度

综合完后可以选择查看综合结果

综合后的原理图如图所示

可以在device下查看用到的逻辑资源

5.综合后最后对代码进行实现

Project Summary里可以查看进度

查看最后的实现结果

6.生成比特流、下载代码

点击生成比特流后等待完成

直接打开硬件管理器,连接好开发板

点击编程设备,把比特流烧入开发板

实际测试功能是否正常

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
本书是使用Zynq MPSoC的开发人员的实用指南,同样也是希望熟悉器件及其相关设计方法的技术人员的有效参考。 Zynq MPSoC(多处理器片上系统)是Xilinx公司推出的第二代SoC系列产品,集成了复杂的处理系统,包括ARM Cortex-A53应用程序处理器和ARM Cortex-R5实时处理器,以及FPGA可编程逻辑。 来自苏格兰斯特拉斯克莱德大学(University of Strathclyde)的Louise Crockett团队基于这一平台的软件和硬件结构,撰写了Exploring Zynq MPSoC: With PYNQ and Machine Learning Applications,综合且全面地介绍了软件堆栈、多处理器处理系统以及可编程硬件阵列等问题。 程序员可以学会如何使用简单的软件界面和框架来快速实现他们的机器学习算法,系统设计师可以利用它来获取系统的最高性能。 内容导读 器件的架构 与Zynq7000相比,Zynq MPSoC 进一步整合了处理器系统中可选择的处理器数量和性能,最多可配备四个ARM Cortex-A53处理器内核和两个ARM Cortex-R5实时处理器内核。此外,该架构进一步拓展了可编程逻辑门阵列中的DSP切片和分布式存储器的规模。在开发当今新兴的AI应用程序时,全新的MPSoC架构将实现繁琐的算术计算和数据移动的过程变得十分轻松有趣。 设计工具和方法 SoC系统将包括硬件设计和软件设计两个方面。硬件设计会映射到SoC设备上的FPGA逻辑资源,而软件则运行在一个或多个系统内部署的处理器上。在此设计流程中,硬件和软件开发可以在很大程度上独立进行,然后整合。工程师使用他们选择的工具生成硬件系统的元素,并使用Xilinx Vivado开发环境实现系统集成和实现目标设备。软件开发人员可以使用Xilinx软件开发工具包(SDK)进行开发。这是传统的软硬件协同设计方法。 Xilinx的SDx开发环境则是一种更高级的开发方式。在Xilinx SDx工具中可以完全使用软件代码对整个系统进行描述,然后对各种计算进行资源分配(在用户指导下)。这使得面向软件的软硬件协同设计已经发生了相当大的转变。本书的第4章中更详细地讨论了关于SDx设计方法。 更先进的应用实现 本书还讨论了Zynq上许多应用程序的实现,包括FINN-R开源框架的有效性神经网络的实现、基于Python的Zynq设备框架和机器学习应用程序。我们可以预见到一些基于Zynq的更为优秀的产品,包括高级驾驶员辅助系统(ADAS),计算机视觉,“大数据”分析等。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值