ZYNQ学习:VIVADO使用心得(一)

在开发时遇到了一大堆错误,但是代码没有问题,这是由于VIVADO使用错误导致的,记录一下

使用ILA进行调试,尝试修改Debug信号结果导致文件版本不匹配

在正常流程添加ILA之后,想修改调试信号,直接点开 SYNTHESIS 中的Set Up Debug开始修改。

如上图,调试下载一切正常

断开硬件,重新修改Set Up Debug后

删除了少许信号,然后直接点击生成比特流

弹出窗口,直接点击Save

这里点击OK之后弹出

点击yes直接开始实现,一会儿后出现报错

[Opt 31-65] LUT input is undriven either due to a missing connection from a design error, or a connection removed during opt_design. LUT cell name: u_ila_0/inst/ila_core_inst/u_trig/U_TM/N_DDR_MODE.G_NMU[2].U_M/allx_typeA_match_detection.ltlib_v1_0_0_allx_typeA_inst/probeDelay1[6]_i_1
意思是有线没有连接,我们回去看原理图发现

的确有线没有连接,这是由于约束文件更新,但综合过时导致的。也就是说,我们只更新了约束文件,没有进行新的综合。

其实上面已经有英文提示,说综合可能过时了,这时候我们就需要重新综合。

重新开始综合,综合完后再重新实现

可以成功实现,没有问题,所以关键在于没有更新综合设计,网上搜了很多都不是这个问题,把这个报错贴在这里希望同样问题有人能搜索到。

下面我们来试一试推荐的方法,就是提示告诉我们的,为了避免重新综合你可以点击强制更新

找到并点击

重新打开综合原理图发现已经不缺线了

直接生成比特流试试:

没有问题

总结:

1.修改约束文件后需要把综合同步了

2.同步综合可以使用重新综合和强制更新两种方法

3.可以用原理图来看是不是有线没连接,这个报错熟悉了

  • 2
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Zynq-7020是一款Xilinx的可编程逻辑器件(PL)和嵌入式处理器系统(PS)集成在一起的SoC(系统级芯片)。它具有双核ARM Cortex-A9处理器和可配置逻辑单元(FPGA)的组合。Vivado是一款由Xilinx提供的集成开发环境(IDE),用于设计、综合、实施和验证FPGA和SoC设计。Vivado可以与Zynq-7020配合使用,进行FPGA开发和编程。使用Vivado进行Zynq-7020的开发,可以通过创建Vivado工程,编写Verilog HDL代码,编辑约束文件以及生成BIT文件等步骤来实现。我们可以通过对GPIO的操作来点亮LED,通过时序约束来控制时钟频率等。根据需要,还可以添加其他的IP核和功能模块来实现更复杂的设计。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [ZYNQ7020-OV5640mipi_HDMI+vivado工程.zip](https://download.csdn.net/download/qq_32856147/20448824)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *2* *3* [41_ZYNQ7020开发Vivado操作_GPIO](https://blog.csdn.net/weixin_39193953/article/details/108487182)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值