vivado下的命令行仿真

4 篇文章 1 订阅

1.export simulation files

导出仿真脚本(vivado下,file>export>simulation),target simulator可选vivado simulator, modelsim,questasim,Riviera_pro等。导出的文件夹xsim 下,有对应的仿真脚本,可以作为参考。

2.vivado tcl console 下,改变路径:

pwd
cd  工程路径

如果路径不对,命令就会一直报错

3. xvlog

xvlog --relax -prj vlog.prj

The xvlog command parses the Verilog source file(s) and stores the parsed dump into a HDL library on disk

xvlog example:

    xvlog file1.v file2.v
    xvlog -work worklib file1.v file2.v
    xvlog -prj files.prj


4.xelab

 xelab --relax --debug typical --mt auto -L blk_mem_gen_v8_4_2 -L xil_defaultlib -L axi_infrastructure_v1_1_0 -L axi_register_slice_v2_1_18 -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot board xil_defaultlib.board xil_defaultlib.glbl -log elaborate.log

对代码进行编译和解析,最后生成可执行文件,如果设计中包含ip核,我们就要同时指定glbl为顶层模块,利用-s参数生成一个snapshot文件,即仿真阶段的可执行文件

xelab Examples
    xelab work.top1 work.top2 -s cpusim
    xelab lib1.top1 lib2.top2 -s fftsim
    xelab work.top1 work.top2 -prj files.prj -s pciesim
    xelab lib1.top1 lib2.top2 -prj files.prj -s ethernetsim

5.xsim

xsim board -testplusarg TESTNAME=sample_smoke_test0 -key {Behavioral:sim_1:Functional:board} -tclbatch cmd.tcl -protoinst "protoinst_files/axi_interconnect.protoinst" -log simulate.log

其中cmd.tcl 如下:

set curr_wave [current_wave_config]
if { [string length $curr_wave] == 0 } {
  if { [llength [get_objects]] > 0} {
    add_wave /
    set_property needs_save false [current_wave_config]
  } else {
     send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
  }
}

run -all
quit
 

The xsim command loads a simulation snapshot to effect a batch mode simulation or provides a workspace (GUI) and/or a Tcl-based interactive simulation environment

cd D:/Vivado_projects/pcie_mac_top/xsim

xvlog --relax -prj vlog.prj

xelab --relax --debug typical --mt auto -L blk_mem_gen_v8_4_2 -L xil_defaultlib -L axi_infrastructure_v1_1_0 -L axi_register_slice_v2_1_18 -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot board xil_defaultlib.board xil_defaultlib.glbl -log elaborate.log

xsim board -testplusarg TESTNAME=sample_smoke_test0 -key {Behavioral:sim_1:Functional:board} -tclbatch cmd.tcl -protoinst "protoinst_files/axi_interconnect.protoinst" -log simulate.log

 

文章参考《ug900-vivado-logic-simulation.pdf》

 

  • 0
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
要在Vivado中与ModelSim联合进行仿真,可以按照以下步骤操作: 1. 配置仿真选项:在Vivado中打开项目,并转到"Flow Navigator"面板。选择"Simulation",然后选择"Run Simulation"。在弹出的对话框中,选择"Behavioral Simulation",然后点击"Next"。 2. 选择仿真工具:在仿真选项对话框中,选择"ModelSim"作为仿真工具,并点击"Next"。 3. 配置仿真文件:在仿真选项对话框的下一步,你可以选择要包含在仿真中的设计文件。确保你选择了需要进行联合仿真的设计文件,并点击"Next"。 4. 配置仿真运行:在仿真选项对话框的下一步,你可以配置仿真运行的一些参数,例如仿真时间、时钟周期等。根据你的需求进行相应配置,并点击"Next"。 5. 生成仿真脚本:在仿真选项对话框的下一步,Vivado将生成一个用于联合仿真的脚本文件。你可以选择将脚本保存到工程目录中,或者直接在ModelSim中运行。 6. 运行联合仿真:完成上述步骤后,点击"Finish"开始运行联合仿真Vivado将自动启动ModelSim,并加载设计文件进行仿真。 在ModelSim中进行仿真时,你可以使用ModelSim提供的波形窗口、命令行工具等来查看仿真结果,并进行调试和验证。 请注意,要成功进行联合仿真,你需要确保Vivado和ModelSim都已正确安装并配置好。此外,还需要根据具体的设计和仿真需求进行相应的设置和操作。 希望这些步骤对你有帮助!如有任何进一步的问题,请随时提问。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值