VIVADO仿真保存波形数据

 integer dout_file;
 initial begin
    dout_file=$fopen("D:/DEMO/****************/test.csv");    //打开D盘DEMO目录下的test.csv
      if(dout_file == 0)begin 
                $display ("can not open the file!");    //创建文件失败,显示can not open the file!
                $stop;
       end
end

always @(posedge clk)
     if(data_valid)   //使能     
       $fdisplay(dout_file,"%h",dout); //%h16进制保存,dout的数据

可以使用

  (*KEEP = "TRUE"*)

保证变量不被优化。

  • 0
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值