【18】组合逻辑 - VL18 实现3-8译码器①

本文介绍了使用Verilog实现3-8译码器的独特方法,作者通过观察真值表,找到以0为对象的解法,实现了简洁的设计。未编写测试平台的TestBench即通过验证,同时指出平台的某些代码设计与自己的思路相同。
摘要由CSDN通过智能技术生成

VL18 实现3-8译码器①

1 题目

在这里插入图片描述

【这题我的思路非常绝境】奈斯 !!

看真值表的思路:Yi所在列【0仅一个其余全1】,故【以0为对象求解】
观察发现:E3 E2_n E1_n = 100 时 是 译码的使能信号
并且E3 E2_n E1_n为其他值时,都不使能译码
然后就很简单,没有仿真就成功了

2 代码 + TestBench

代码

`timescale 1ns/1ns

module decoder_38(
   input             E1_n   ,
   input             E2_n   
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值