【23】Verilog进阶 - 数位转换【实时处理 + 标志信号】

【初次尝试】VL32 非整数倍数据位宽转换24to128

1 理解题目含义

根据【模块端口】和【题目描述】本题的真实意思是比较清楚啦。但不可大意轻敌!

(1)问题1:输出一直为0

猛然间发现计数值也为0,没有增加

在这里插入图片描述
去排查cnt的代码,很容易找到到问题,是cnt上电复位的逻辑写错了
异步复位信号应该是低电平有效的,但是我这里的逻辑是高电平有效
改过来之后cnt能够正常计数了,但是data_out仍然为0

reg [2:0] cnt;
always@(posedge clk , negedge rst_n)begin
	if
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值