非整数倍位宽转换(Verilog)

RTL代码:

module fsm(
	input clk,
	input rst_n,
	input [7:0]data_in,
	output reg [11:0]data_out
);

	reg [11:0]data_out_r1;
	reg [11:0]data_out_r2;
	reg [1:0]cnt;
	
	always@(posedge clk or negedge rst_n)begin
		if(!rst_n)
			cnt <= 'd0;
		else if(cnt == 'd2)
			cnt <= 'd0;
		else 
			cnt <= cnt + 1'b1;
	end
	
	always@(posedge clk or negedge rst_n)begin
		if(!rst_n)begin
			data_out_r1 <= 'd0;
			data_out_r2 <= 'd0;
			data_out <= 'd0;
		end
		else if(cnt == 'd0)begin
			data_out_r1 <= {4'b0,data_in};
			data_out_r2 <= data_out_r2;
			data_out <= data_out_r2;
		end
		else if(cnt == 'd1)begin
			data_out_r1 <= {data_in[3:0],data_out_r1[7:0]};
			data_out_r2 <= {8'b0,data_in[7:4]};
			data_out <= data_out;
		end
		else if(cnt == 'd2)begin
			data_out_r1 <= data_out_r1;
			data_out_r2 <= {data_in,data_out_r2[3:0]};
			data_out <= data_out_r1;
		end
	end

endmodule


仿真代码:

`timescale 1ns/1ns
module fsm_tb;

	reg clk;
	reg rst_n;
	reg [7:0]data_in;
	wire [11:0]data_out;
	
	fsm fsm_inst(
		.clk			(clk		),
		.rst_n		(rst_n	),
		.data_in		(data_in	),
		.data_out	(data_out)
);
	
	initial clk = 0;
	always#10 clk = ~clk;
	
	initial begin
		rst_n = 0;
		data_in = 'h0;
		#210;
		rst_n = 1;
		
		data_in = 'h12;
		#20;
		
		data_in = 'h34;
		#20;
		
		data_in = 'h56;
		#20;
		
		data_in = 'h78;
		#20;
		
		data_in = 'h9a;
		#20;
		
		data_in = 'hbc;
		#40;
		
		$stop;
	end

endmodule

仿真截图:
在这里插入图片描述

  • 2
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值