Based on the current IO attributes settings, port cannot be assigned to pin

在使用 Lattice Diamond 编译代码时,能够编译通过,但是打开 pin分布图时会提示Based on the current IO attributes settings, port cannot be assigned to pin ##

有两种情况:

1.bank电压不匹配,lpf文件中这个BANK的电压与spreatsheet中该BANK的电压不匹配导致 

2.分配管脚的信号没有使用,且信号类型是input,输入型信号相当于悬空,软件认为有隐患,将信号注释掉或者改为output类型即可

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值