自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

OpenFPGA的博客

碎碎思

  • 博客(1152)
  • 资源 (56)
  • 收藏
  • 关注

原创 FPGA实现串口升级及MultiBoot(四)MultiBoot简介

缩略词索引:K7:Kintex 7V7:Vertex 7A7:Artix 7我们在正常升级的过程(只使用一个位流文件),假如:(1)因为干扰通信模块收到了一个错误位;(2)或者烧写进FLASH时突然断电,导致位流文件不完整;(3)再或者使用软核升级应用程序时,突然断电或者接收文件错误,导致应用程序启动不了;(4)再再或者外场人员烧写了不适配本FPGA的升级程序。以上这些都是我们升级时候常见的问题,...

2024-09-12 08:30:26 962

原创 最酷的 FPGA 技术之一:DFX 实例精讲

在微秒内交换“硬件”:使用 AMD 的 FPGA 即可实现。该技术称为DFX(Dynamic Function Exchange)。注意和产品设计的DFX区别:在1982年,NCR公司开始了一个正式的计划,以在其整个业务中实施制造可生产性的设计。到1989年,该计划已被正式命名为“DesignforExcellence”(DFX)。该公司将DfX描述为:“持续改进并发产品和制造过程开发,从一...

2024-09-09 08:30:53 1447

原创 FPGA实现串口升级及MultiBoot(三)FPGA启动加载方式

缩略词索引:K7:Kintex 7V7:Vertex 7A7:Artix 7上一篇中介绍了FPGA的启动步骤,如图0 所示,今天这篇文章就要在上一篇文章基础上进行分支细化,首先我们先了解FPGA 启动加载的几种方式。同时对于我们设计中常见的几个问题将在文章最后详细讨论,比如HEX、BIN、MCS区别,在生成MCS时候Bit Swap什么作用,Bitstream 压缩到底在压缩什么等问题进行详细探讨...

2024-09-05 08:31:04 1291

原创 FPGA实现串口升级及MultiBoot(二)FPGA启动流程

这个系列开篇肯定要先了解FPGA的启动流程,试想一下:我想实现MultiBoot,那么我应该在什么时候开始升级,升级失败后FPGA进行了哪些操作,以及怎么回到Golden区?还有一个问题,就是我硬件打板回来,烧写进FLASH后起不来,这应该怎么排查?缩略词索引:K7:Kintex 7V7:Vertex 7A7:Artix 7以上所有这些的前提就是理解FPGA启动流程。图0:7系列FPGA启动步骤-...

2024-09-02 08:30:58 1240

转载 Github 上有哪些优秀的 VHDL/Verilog/FPGA 项目

下表是按照STARS排列,由于公众号不能在文章中插入链接,所以请点击文末的:阅读原文分别点击图中Verilog或者VHDL就可以进去点击链接进行查看。STARSFORKSISSUESLAST COMMITNAME/PLACEDESCRIPTION1450676331 year, 1 month agoe200_opensource/1The Ultra-Low Power RISC Core137...

2024-08-29 08:30:49 970

原创 FPGA实现串口升级及MultiBoot(一)先遣篇

好久没写系列文章了,准备以最近在群里讨论比较多的话题为契机,写个系列文章,文章议题以《串口升级》《MultiBoot》《QuickBoot》为主,辅以《启动流程》《FLASH》《XMODEM》等知识,主线就是7系列FPGA实现串口升级的几种方式,同时会介绍一下UltraScale系列FPGA与7系列在这方面的区别与注意事项,所有FPGA测试平台都不包含ARM核。主要的议题如下:第一版内容框图后续会...

2024-08-26 08:30:44 365

原创 在 FPGA 上实现以太网的“低级”指南

如今,我们日常的网络连接大多是通过无线方式进行的,因此很容易忘记以太网。但它仍然是一种有用的标准,是一个可靠的高吞吐量网络链接的好方法。为此,[Robert Feranec] 和 [Stacy Rieck] 编写了一个关于如何在 FPGA 上使用以太网的教程。两人花了一个多小时逐步完成以太网的实现,没有使用 IP 块来简化操作。视频解释了基本架构,包括设备上的物理引脚及其功能,一直到设备内部执行所...

2024-08-22 08:30:52 619

转载 十年前的一篇封神文章,深度总结ARM和x86的发展历程

今天来看一篇十年前的文章,也是《PCI Express体系结构导读》的作者,这篇文章深度分析了PC帝国的崛起、Intel的历史、ARM的崛起以及对x86架构的挑战。PC帝国的形成1978年,Intel推出了8086处理器,奠定了x86架构的基础,标志着PC帝国的开端。1981年,IBM推出了基于Intel 8088处理器的IBM PC,这是第一台真正意义上的个人电脑,标志着PC时代的开始。Micr...

2024-08-20 08:31:02 105

原创 使用哪种方式可以将 MATLAB 算法转换到FPGA中运行?

FPGA在进行相关算法计算时,一般都会使用高级语言进行算法验证,目前比较常见的就是 MATLAB ,那么使用哪种方式可以将MATLAB中实现的算哒转换到FPGA中?目前可以通过多种方式在 FPGA 中实现算法。Simulink HDL CoderMathWorks 提供了一个名为 Simulink HDL 编码器从 Simulink 模型和嵌入式 M 代码创建可综合的 HDL。Simulink H...

2024-08-16 08:31:29 820

原创 FPGA可以解决哪些问题?

FPGA 允许在单个芯片中实现大量数字逻辑,其运行速度相对较高,并且只需很少或不需要在 CPU 内核上运行的传统顺序程序即可完成其工作。这种数字逻辑可以实现任何东西,从简单的UART到由数十个CPU内核组成的架构,每个CPU内核都运行自己的小程序,并在共享任务上相互通信。或者,它可以是几组不同的逻辑在处理完全独立的任务,这些任务彼此之间可以没有关系。数字逻辑实现基本上,FPGA 允许放置下图中所有...

2024-08-12 08:30:55 1007

原创 YoloV3 在FPGA上运行-量化、编译和推理

YoloV3 在FPGA上运行-量化、编译和推理在Yolo这个复杂度级别的神经网络基本很少从零开始使用HDL搭建了,基本借助于FPGA厂商推出的AI工具链(AMD的Vitis AI/Intel的OpenVINO)可以快速搭建基于神经网络的应用。今天搭建基于 Yolov3 在FPGA上运行对象检测的示例,侧重过程。YoloV3 架构YOLOv3(You Only Look Once Version ...

2024-08-08 08:30:30 737

转载 Vim的基本使用方法

Vim是做数字IC设计、验证最流行的编辑器,没有之一。下面介绍一下基本使用方法。〇、准备工作,确认是否安装vim分两种,一种是命令行的,就叫vim,绝大部分linux默认已经安装。还有一种是带图形界面的vim,也叫gvim。可以通过which来确认:which vimwhich gvim如果gvim没有安装,可以用yum install来安装,或者请公司IT帮忙安装:yum install gv...

2024-08-05 08:30:23 74

原创 适用FPGA的小型神经网络(二)

前一篇文章介绍了LeNet和AlexNet是非常适合入门的神经网络,今天稍微进阶一点,复杂一些。VGGVGG(Visual Geometry Group)是牛津大学的Karen Simonyan和Andrew Zisserman在挑战2014年的ILSVRC时提出的系列模型。基于模型研究和比赛结果,两人发表了论文《Very Deep Convolutional Networks For Large...

2024-08-02 08:30:40 548

原创 使用 PYNQ 训练和实现 BNN

使用 PYNQ 可以轻松在 FPGA 上实现加速 AI/ML,而无需编写一行 HDL!让我们看看如何做到这一点...介绍机器学习是近几年的热门话题,有许多用例和应用。Zynq 和 Zynq MPSoC 等异构 SoC 则更具显著优势,因为它们允许在可编程逻辑内实现推理网络。在 PL 中实现推理网络可显著提高性能。当然,对于那些不熟悉机器学习的人来说,很难知道从哪里开始,尤其是如果想使用可编程逻辑来...

2024-07-31 08:30:31 322

原创 IP库新增加密、解密(AES、DES等)相关代码

IP库介绍IP库新增10多个功能IP片上毛刺时钟发生器文件/文件夹名称glitchy-clock_generator介绍一种片上毛刺时钟发生器,用于对SASEBO的故障攻击实验。这项工作发表在COSADE 2011中(下列文献中)。S. Endo, T. Sugawara, N. Homma, T. Aoki, and A. Satoh, "An on-chip glitchy-clock gen...

2024-07-29 08:30:44 399

原创 适用FPGA的小型神经网络(一)

之前推荐过适合FPGA的神经网络,包括CNN、DNN及SNN等,想实现的可以去看下:优秀的 Verilog/FPGA开源项目介绍(三十五)- TinyML优秀的 Verilog/FPGA开源项目介绍(二十四)- 脉冲神经网络 (SNN)优秀的 Verilog/FPGA开源项目介绍(二十二)- 深度神经网络 (DNN)优秀的 Verilog/FPGA开源项目介绍(二十一)- 卷积神经网络(CNN)优...

2024-07-24 08:31:14 629

原创 为什么 FPGA 的效率低于 ASIC?

FPGA是“可重构逻辑”器件。先制造的芯片,再次设计时“重新配置”。ASIC 不需要“重新配置”。你先设计,把它交给代工厂,然后制造芯片。现在让我们看看这些芯片的结构是什么样的,以及它们的不同之处。● 逻辑单元:FPGA的基础模块这是最小的逻辑块。LUT 充当组合逻辑。在DFF的帮助下,可以形成时序逻辑。它可以构建逻辑门、多路复用器、编码器、加法器;任何真值表都可以作为布尔表达式存储在 LUT 中...

2024-07-22 08:31:28 613

原创 在HLS中插入HDL代码

很多人都比较反感用C/C++开发(HLS)FPGA,大家第一拒绝的理由就是耗费资源太多。但是HLS也有自己的优点,除了快速构建算法外,还有一个就是接口的生成,尤其对于AXI类接口,按照标准语法就可以很方便地生成相关接口。那么有没有能利用HLS的优点,又囊括HDL的优点的方法呢?今天就来介绍一种在HLS中插入HDL代码的方式,结合两者的优势为FPGA开发打造一把“利剑”。说明接下来,将介绍如何创建 ...

2024-07-15 08:30:11 848

转载 使用 CCIX进行高速缓存一致性主机到FPGA接口的评估

Chiplet技术和NoC技术目前已经成为解决摩尔定律无法延续的一种重要方法,现在的CPU芯片对外的接口已经不是普通的IO了,而是一套标准的NoC总线接口,可以与专门的NoC总线DIE(暂称为IO DIE)利用Chiplet技术连接,多个CPU核或异构核与多个IO DIE再通过Chiplet技术进行集成,就可以做出来更大规模的芯片。正是Chiplet技术和NoC技术的出现给体系结构带来了发展的黄金...

2024-07-10 08:31:18 131

原创 小型 FPGA 瞄准 4K 视频接口,MiSTer FPGA 现已支持 Sinden 光枪-FPGA新闻速览

无需矩阵乘法,在 FPGA 上实现低功耗、高性能的 LLMUC Santa Cruz, Soochow University, UC Davis 和 LuxiTech 发表了一篇题为“可扩展的无 MatMul 语言建模”的新技术论文。“矩阵乘法 (MatMul) 通常占据大型语言模型 (LLM) 总体计算量的主导地位。随着 LLM 扩展到更大的嵌入维度和上下文长度,此计算量只会增加。在这项工作中,...

2024-07-08 08:31:03 1359

转载 使用机器学习进行FPGA的执行时间与功耗预测

这一篇2022年发表在FCCM上的论文,这篇文章介绍了一种使用机器学习(ML)准确预测FPGA上应用程序执行时间和功耗的方法。研究团队来自西密歇根大学,他们提出的方法旨在加速FPGA设计流程,绕过高层次综合(HLS)工具的缓慢合成与仿真过程,并减少用户设置时间的需求。Introduction尽管高层次综合(HLS)工具已使软件工程师能够探索现场可编程门阵列(FPGA),但它们在综合与仿真上的速度较...

2024-07-03 08:30:42 72

转载 通信系统中常见的中射频设计方案

早期的通信系统使用数字信号处理器IC来产生kHz带宽的基带信号,所有的RF调制(以及随后的RF滤波和RF放大等)都是使用离散模拟组件进行的。RFSoC平台可能是最先进的现代无线通信平台,可以使用FPGA可编程逻辑内核生成GHz的带宽信号,这意味着上变频、滤波、数字预失真(DPD)甚至射频载波调制都可以通过数字方式进行。在这种射频直采中,仅剩的模拟级是RF滤波和RF放大。根据通信系统的发展过程,本文...

2024-07-01 08:30:51 116

转载 厌倦了sv/uvm?来看看用python写验证环境-cocotb

本文介绍了cocotb的安装、python tb文件的写法、用xrun仿真cocotb的脚本等,我们来看看体验如何。一、准备centos7python3.6+yum install python3-develpip3 install --upgradecocotb二、写RTL// top.svmodule top ( input wire clk, input wi...

2024-06-28 08:31:00 225

原创 用FPGA做GPU?

由于 GPU 市场竞争激烈,目前大公司除了专利垄断,一些技术细节也是封闭的。除了英特尔,它发布了大量关于其 GPU 的技术文档:https://kiwitree.net/~lina/intel-gfx-docs/prm/当然,还可以在网上找到一些古老的GPU 如 i810/815 手册。AMD 也发布了大量文档 :https://www.amd.com/en/developer/browse-by...

2024-06-24 08:30:47 723

转载 到底要不要报考“通信工程”?(2024版)

又到了高考季。为了方便广大考生全面了解“通信工程”专业,小枣君特此更新了这篇经典文章。█“通信工程”的基本介绍通信工程,英文全称叫做Communication Engineering,是一门重要的工学基础学科。根据教育部《学位授予和人才培养学科目录设置与管理办法》,“通信工程”属于二级学科,归属于“信息与通信工程”一级学科之下。同属于“信息与通信工程”一级学科的,还有:电子信息工程、电信工程、信...

2024-06-21 08:31:10 344

转载 如何在ModelSim中添加Xilinx仿真库

今天给大家带来在FPGA设计应用中如何在ModelSim中添加Xilinx仿真库,话不多说,上货。注意:ModelSim一定要安装在不带空格的目录下,即不要安装在“Program Files”目录下。如作者是安装在D:\softwares\Modelsim目录下,ISE软件也最好安装在不带空格的目录下。1、找到开始菜单->程序->Xilinx ISE Design Suite 11 -...

2024-06-21 08:31:10 146

转载 ZYNQ国产替代方案来啦!复旦微FMQL20SM工业核心板正式来袭!

如需选购,请登录创龙科技天猫旗舰店: tronlong.tmall.com!复旦微FMQL20SM技术交流群:797366008,欢迎加入!更多FMQL20SM产品资料可长按二维码识别领取亦可添加如下客服微信进行咨询(即刻添加,马上咨询)...

2024-06-18 08:00:27 161

原创 FPGA国内”薪“赛道-在医疗领域的应用

mian免ze责sheng声ming明 以下观点仅代表个人观点,不代表任何公司或者行业 从下游应用市场来看,通信和工业市场份额位居FPGA芯片一二位,同时通信市场份额有望持续提升。但是目前通信和工业市场趋于稳定,FPGA厂商一直推AI市场,但是AI市场目前被ASIC和显卡牢牢占据,FPGA被市场上认可度也不高。几年前FPGA在国外医疗行业就已经发展的很好了,根据赛灵思透露,医疗领域...

2024-06-17 08:30:20 1090

转载 Vivado每次的编译结果是一样的吗?

很多FPGA工程师都有这种困惑,Vivado每次编译的结果都一样吗?在AMD官网上,有这样一个帖子:Are Vivado results repeatable for identical tool inputs?对大多数情况来说,Vivado编译的结果是一样的,但要保证下面的输入是一样的:Design sourcesConstraintsTcl scripts and command sequen...

2024-06-13 08:30:23 226

原创 为什么FPGA厂商拥抱RISC-V?不继续发展RISC

Microsemi 于 2017 年开始在其 FPGA 中提供 RISC-V 软核,Lattice 于 2020 年开始提供,英特尔 (Altera) 于 2021 年开始提供,因此 Xilinx 是最后一家这样做的主要供应商(2024年5月30日)。Microsemi 和 Gowin 的 FPGA 中也有 RISC-V 硬核,类似于 Zynq。对于 Microsemi 来说,由五个 64 位 S...

2024-06-11 08:45:14 1184

原创 FPGA无芯片HDMI接入方案及源码

FPGA 在无外部PHY芯片情况下输出HDMI,目前是比较成熟的方案(外部电路需要转换成TMDS电平)。在无PHY芯片情况下怎么进行HDMI信号输入呢?有输出当然有输入了,方案也是DIGILENT提供的(输出也是),下面以AMD-Xilinx 7系列FPGA为例,一步一步搭建一个HDMI输入DEMO.获取源码Digilent 提供了使用其提供的DEMO的特定流程。下载源码https://digil...

2024-06-05 08:30:55 568

原创 AMD-Xilinx Vivado™ 2024.1 现已推出,可供下载

主要更新:MicroBlaze™ V 软处理器(基于 RISC V 开源 ISA)的通用访问面向 Versal 器件的 QoR (FMAX) 增强功能优化了整个 SLR 边界的时钟与 P&R(面向 multi-SLR Versal 器件)物理优化过程中由用户控制的重新定时用户控制的时钟树选择可实现时钟偏差的最小化Dynamic Function eXchange (DFX) 强化增强了 D...

2024-06-03 08:30:25 977

转载 『一文讲透』CRC校验原理和推导过程及Verilog实现

一、CRC简介循环冗余校验和(Cyclic Redundancy Checksum, CRC)是一种检错技术。数据通信领域中最常用的一种差错校验码,其信息字段和校验字段长度可以任意指定,但要求通信双方定义的CRC标准一致。主要用来检测或校验数据传输或者保存后可能出现的错误。在数据传输过程中,无论传输系统的设计再怎么完美,差错总会存在,这种差错可能会导致在链路上传输的一个或者多个帧被破坏(出现比特差...

2024-05-30 08:30:22 685

转载 【重磅干货】手把手教你动态编辑Xilinx FPGA内LUT内容

研究背景及基础知识FPGA是实现高性能计算与网络的重要工具,得益于其高度的并行性与用户可编程的特性,FPGA得到了越来越广泛的应用。FPGA由CLB(Configurable Logic Block,可编程逻辑单元)、BRAM(Block RAM,块RAM)、DSP48E1(专用数字处理单元)、可编程布线资源、可编程IO资源等部分组成,其中,CLB是实现逻辑功能的基础,Xilinx 7系列FPGA...

2024-05-30 08:30:22 262

转载 《Vivado那些事儿》AMD FPGA的MicroBlaze固化流程

一、简介MicroBlaze是AMD FPGA推出的一款32/64位软核嵌入式处理器,其高度可配置,可满足通信、工业、医疗、汽车、以及消费类各场景需求。MicroBlaze是AMD FPGA嵌入式产品的重要组成部件,具有多功能互联系统,可支持各种嵌入式应用。MicroBlaze的易用性使得其开发如AMD其它嵌入式SoCFPGA一样简单。客户在搭建含MicroBlaze IP的工程后,经常遇到的问...

2024-05-27 08:30:16 314

转载 如何客观评价5G的现状?

前几天,在知乎上看到一个帖子,热度挺高:看了一下帖子的回答,基本上都在骂5G。作为通信行业从业者,我说说我自己的看法。大家姑且听听,一起交流一下。我们目前所处的这个时代,有一个很大的特点,就是——躁动。上世纪中叶以来,人类科技进入信息时代,获得了巨大的发展。计算机、芯片、互联网、手机等新生事物的出现,让人们见识到数字科技的力量。科技会带来技术和产业革命,也会带来巨大的商业利益。企业、资本,包括公众...

2024-05-21 08:30:37 169

原创 如何在服务器上调试本地FPGA板卡

联合开发或者跑多策略工程的时候,一般都使用多核的服务器进行FPGA设计。这个时候如果板卡在本地电脑上应该怎么进行调试呢?今天就简单讲讲《如何在服务器上调试本地FPGA板卡?》。简介Vivado 可以在功能更强大的服务器上远程运行,同时可以在本地PC上连接的 FPGA 板卡上进行开发调试。在此配置中,服务器和工作站必须安装相同版本的 Vivado(不同版本未测试)。在 Windows (本地PC)中...

2024-05-13 08:30:52 547

转载 【Vivado那些事儿】在 Windows 10 上创建并运行 AMD Vitis™ 视觉库示例

本文作者:AMD 工程师 Rachel Gaines 和 Kevin Morris本篇文章将演示创建一个使用 AMD Vitis™视觉库的 Vitis HLS 组件的全过程。此处使用的是 Vitis Unified IDE。如果您使用的是旧版 AMD Vitis Software Platform,大多数步骤相同。注释:我们的工具仅在 Windows 上经过极其有限的测试,因此仅限于特定的文档和...

2024-05-08 08:30:30 175

原创 国外大学生都用FPGA做什么项目(十五)

看看国外大学的FPGA开发项目据我了解,目前国内很多大学是没有开设FPGA相关课程的,所以很多同学都是自学,但是自学需要一定的目标和项目,今天我们就去看看常春藤盟校Cornell University 康奈尔大学开设的FPGA项目课程,大部分课程是有源码的,而且和国内使用习惯类似都是Verilog开发,还是很有借鉴意义的。项目链接https://people.ece.cornell.edu/lan...

2024-04-28 08:30:38 811

转载 Multiport RAM,多读多写寄存器-——基于FPGA BRAM的多端口地址查找表与FPGA BRAM的资源分析...

本项目开源,如需要完整源代码移步到此链接:https://blog.csdn.net/qq_45634652/article/details/138034081?spm=1001.2014.3001.5502一、背景在多端口交换机的设计中,交换机的每个端口都会各自维护一张查找表,数据帧进入到交换机后,需要进行查表和转发。但随着端口数量和表项需求的增加,每个端口都单独维护一张表使得FPG...

2024-04-24 08:30:37 204

ug_virtualjtag

ug_virtualjtag内有13版的虚拟JTAG的官方文档和17版的官方文档,都是英文版的

2018-09-05

高等数学 第7版 上册 同济大学

高等数学 第7版 上册 同济大学

2018-08-30

ADI高速、混合、微弱信号布线指南(中文对照

ADI高速、混合、微弱信号布线指南(中文对照) 资料写的很详细

2018-08-13

xapp623-FPGA电源设计应用指导

xapp623-FPGA电源设计应用指导-xilinx官方电源设计指导白皮书 这个是中文版的,需要英文版的把资源名称复制百度就可以找到了,也可以私信我

2018-07-18

基于FPGA的深度学习加速器设计与实现

现场可编程门阵列FPGA作为常用的加速手段之一,具有高性能、低功耗、 可编程等特点。本文采用FPGA设计针对深度学习通用计算部分的加速器,主要工作有: 1)、分析深度神经网络、卷积神经网络的预测过程和训练过程算法共性和特 性,并以此为基础设计FPGA运算单元,算法包括前向计算算法、本地预训练算法和全局训练算法。 2)、根据FPGA资源情况设计基本运算单元,包括前向计算单元和权值更新 运算单元。运算单元均进行可配置和流水线设计,在适应不同规模深度学习神经 网络的同时具有高吞吐率。 3)、分析FPGA加速器的上层框架和数据通路,编写linux操作系统下驱动 程序以及面向上层用户简单易用的调用接口。 4)、通过大量实验测试分析影响加速器性能的各种因素,得到加速器的性能、能耗趋势,使用测试数据集与CPU、GPU平台进行性能、功率、能耗等参数对比,分析FPGA实现的优劣性。

2018-06-25

内存及其与CPU连接

内存及其与CPU连接,很经典,主要例子举的非常通俗易懂。

2017-12-03

笔试面试精华题目(修改版)

笔试面试精华题目。主要是硬件工程师笔试和面试过程中常见的题目,命中率百分之80左右。

2017-12-01

开源处理器OpenMIPS模块连接关系图

开源处理器OpenMIPS模块连接关系图,使用VISIO绘制,十分详细,学习OpenMIPS必备利器

2017-11-07

基于状态机的简易RISC CPU设计

基于状态机的简易RISC CPU设计,包括夏宇闻老师Verilog数字系统设计中的文档说明和源码,很详细哟

2017-11-01

稳压二极管的使用

稳压二极管的使用

2017-10-24

差分阻抗的定义及由来

差分阻抗的定义及由来,包括两个文档,一个是英文原版的文档,一个是翻译好的文档。PS:7z的压缩包,最好用7z压缩软件进行解压。

2017-10-17

DDR3相关知识

DDR3相关知识,包括基本知识及和DDR2的对比,文档格式还没调整好

2017-10-17

AMBA-AXI总线详解

AMBA-AXI总线详解,里面介绍了AXI总线的接口标准和协议标准,还有一些时序的介绍

2017-10-17

传输线端接

传输线端接,介绍几种常见的端接方式,介绍这几种方式的优缺点

2017-09-28

三极管相关知识点

三极管相关知识点,包括二极管基础知识,三极管基础知识

2017-09-27

NRF24L01板载PCB天线设计

NRF24L01板载PCB天线设计,包括官方的文档,相关PCB设计说明。还有自己的原理图和PCB源文件,可以直接添加到自己PCB上使用。

2017-09-24

自制2.4G全向天线效果不错

自制2.4G全向天线效果不错

2017-09-24

电源完整性分析(于争博士)

电源完整性分析(于争博士) 里面通俗易懂的讲解了电源完整性的问题,并没有太多数学计算的东西,举例也很简单。

2017-09-20

OpenMIPS_VHDL_practice_v1.0

OpenMIPS_VHDL_practice_v1.0

2017-09-13

OpenMIPS快速入门手册(实践版)

OpenMIPS快速入门手册(实践版)主要包含相关技术的规范,以及OpenMIPS引脚的定义与使用 OpenMIPS快速入门手册(实践版)

2017-09-11

2口手动KVM原理图.pdf

参考原理图

2021-08-02

智能无线电技术.pdf

智能无线电技术

2021-04-11

AXI_Stream_TEST.7z

请先看https://suisuisi.blog.csdn.net/article/details/109455845 后在下载,谢谢

2020-11-02

IMX214_RegisterMap_2.0.0

IMX214_RegisterMap_2.0.0

2019-04-01

数据传输中耦合电容的选择与应用

数据传输中耦合电容的选择与应用 从理论上进行分析在告诉传输链路中AC耦合电容的作用和选择相关理论

2019-03-28

FPGA知识图谱-PDF格式

请各位 先到 https://blog.csdn.net/Pieces_thinking/article/details/88387874 看一下眼在下载

2019-03-11

FPGA知识图谱-SVG格式

请各位大哥先到 https://blog.csdn.net/Pieces_thinking/article/details/88387874 看一下再下载

2019-03-11

DesignCon2018信号完整性宝典

DesignCon2018信号完整性宝典

2019-02-12

门磁开关磁接近开关原理

门磁开关磁接近开关原理 包括干簧管和简单的基于干簧管的门磁报警器原理

2018-11-14

System Generator实现CORDIC算法工程文件

System Generator实现CORDIC算法工程文件 详细内容,请参照博客:https://blog.csdn.net/Pieces_thinking/article/details/83745331 请看完之后再下载

2018-11-05

基于FPGA的CORDIC算法实现-Vivado Verilog

基于FPGA的CORDIC算法实现-Vivado2018开发环境, Verilog语言编写,带TB文件,已经在ModelSim中仿真通过。

2018-11-01

ModelSim SE 10.4安装破解教程

ModelSim SE 10.4安装破解教程

2018-10-31

CORDIC算法

CORDIC算法的资源 包括Xilinx出的关于算法的介绍和相关的仿真和Verilog代码,还有本人收集的关于CORDIC算法写的比较好的中文书的一节

2018-10-29

时钟信号设计

时钟信号设计 包括布局设计和布线设计 比较简单的规则,适合新手

2018-10-24

基于VIP_Board Big的FPGA入门进阶及图像处理算法开发教程-V3.0

基于VIP_Board Big的FPGA入门进阶及图像处理算法开发教程-V3.0 这是一款相对完善的视频图像处理的开发板配套的资源,详细的配套光盘,我会在我博客里放出来:基于FPGA的图像边缘检测系统

2018-10-18

PLL 锁相环基本原理

PLL 锁相环基本原理,ADI官方MT-086锁相环(PLL)基本原理,中文版,写的非常详细,有利于理解相关理论。

2018-10-10

CORDIC配套实例

黑金CORDIC教程,配套实例,工程实例,带仿真文件,可以直接用,具体教程,请搜索我的资源

2018-09-26

CORDIC算法介绍及实例

黑金出的CORDIC算法介绍及实例,内容从浅到深,实例完美,需要具体实例的在我的资源里找

2018-09-26

LogicLock技术资料

LogicLock技术资料 包括:Verilog语言与FPGA数字逻辑设计-TimeQuest-LogicLock-design-Partition应用.pptx和第5章--LogicLock优化技术.ppt 资料也是比较老的,如果不是需求特别大的,不建议下载

2018-09-11

Tcl_Tk入门经典(第2版)

Tcl/Tk入门经典》介绍了Tcl语言、Tk工具集以及Tcl和C语言结合编程。《Tcl/Tk入门经典》的第I部分首先介绍了Tcl语言的基本概念和基础知识。第II部分集中介绍如何使用Tk工具集开发图形用户界面。第Ⅲ部分讲解了如何结合Tcl和C语言进行程序开发。 《Tcl/Tk入门经典》原第一作者是Tcl的创造者,所以本书内容覆盖了Tcl语言的主要方面,且示例程序丰富,大部分示例代码可在Tcl安装目录的demos目录中找到。《Tcl/Tk入门经典》适用于Tcl语言的初学者,也适用于希望了解Tcl 8.5版和Tk 8.5版新特性的读者。

2018-09-05

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除